![]() 改良的溝槽內輪廓
专利摘要:
在此描述一種在半導體基材中蝕刻凹部的方法。該方法可包含:在該基材的溝槽中形成介電襯墊層,其中該襯墊層具有第一密度。該方法也可包含:沉積第二介電層,該第二介電層至少部分位在該溝槽中於該襯墊層上。該第二介電層在沉積後最初為可流動,並且有第二密度,該第二密度低於該襯墊的第一密度。該方法可進一步包括:將該基材暴露至乾式蝕刻劑,其中該蝕刻劑移除該第一襯墊層與該第二介電層的一部分而形成凹部,其中該乾式蝕刻劑包括含氟化合物與分子氫,且其中移除該第一介電襯墊層與移除該第二介電層的蝕刻速率比為約1:1.2至約1:1。 公开号:TW201320179A 申请号:TW101135395 申请日:2012-09-26 公开日:2013-05-16 发明作者:Kedar Sapre;Nitin Ingle;Jing Tang 申请人:Applied Materials Inc; IPC主号:H01L21-00
专利说明:
改良的溝槽內輪廓【相關申請案之交互參照】 此申請案主張美國臨時申請案61/539,279之權益,該美國臨時申請案於2011年9月26日提出申請,發明名稱為「Improved Intrench Profile(改良的溝槽內輪廓)」。該臨時申請案之全文在此併入本文。 本發明關於半導體處理技術。 半導體處理經常包括許多各別的製造步驟。在技術現況中,常規上將電路部件形成為處於奈米尺寸,且需要具敏感度的製造技術。例如,在用於淺溝槽隔離(STI)閘極形成上的整合方案上,在選擇性材料存在於奈米級的細小溝槽中時,必須優先移除犧牲膜。隨著半導體技術持續發展,這些半導體基材溝槽繼續在寬度上縮小,這使得膜的移除更加困難。 這些小寬度的溝槽需要精細的蝕刻技術。儘管可用各種蝕刻技術,但幾乎沒有蝕刻技術提供這麼錯綜複雜的細節所必須的選擇性移除。例如,使用氫氟化物溶液的溼式移除法可用於選擇性移除。但此類溼式移除法不能用於STI的凹部形成上,因為該製程的化學條件(chemistry)與溶液浴(bath)的壽命經常無法充分受到控制以用於此類細微的蝕刻。 可運用乾式蝕刻技術,且該乾式蝕刻技術已顯示能提出選擇性移除。例如,使用乾式蝕刻劑氣體(包括氨氣與含氟氣體)之組合的SiconiTM製程已被用於在移除期間較佳地控制材料的移除。然而,該乾式蝕刻劑氣體仍以不同速率選擇性地蝕刻不同品質的氧化物。儘管此氧化物的選擇性在半導體處理期間經常是可被接受的,但在STI凹部形成中,細微的選擇性可能引發在STI溝槽中有凹陷(concave)輪廓,其中襯墊氧化物與可流動氧化物同時存在。這樣的輕微凹陷(或彎月面(meniscus))可能潛在地引發整合被動元件規模縮放以及溝槽間控制閘極多晶矽填充之整合問題。因此,需要在STI凹部產生上有改良的溝槽輪廓。這些與其他需求由本發明解決。 本技術提供從已蝕刻在半導體基材上的溝槽內移除不同品質的介電材料的方法。可用乾式蝕刻劑氣體執行該移除,所述乾式蝕刻劑氣體對沉積的氧化物之品質不敏感。因為不敏感,從而該等乾式蝕刻劑氣體可以實質上類似的速率移除不同的氧化物。以此方式,包括不同品質的多種氧化物之溝槽可受到蝕刻,而使得溝槽內的輪廓遍及不同氧化物上是一致的。 在此描述於半導體基材中蝕刻凹部的方法。該等方法可包含:在該基材的溝槽中形成介電襯墊層,其中該襯墊層具有第一密度。該等方法也可包含:沉積第二介電層,該第二介電層至少部分位在該溝槽中於該襯墊層上。該第二介電層在沉積後最初為可流動,並且該第二介電層可具有第二密度,該第二密度低於該襯墊層的第一密度。該等方法可進一步包括:將該基材暴露至乾式蝕刻劑,其中該蝕刻劑移除該第一襯墊層與該第二介電層的一部分,而形成凹部,其中該乾式蝕刻劑包括含氟化合物與分子氫。移除該第一介電襯墊層與移除該第二介電層的蝕刻速率比為約1:1.2至約1:1。 本發明的實施例也包括一種蝕刻介電材料的方法,該介電材料位在覆於半導體基材上的選擇性材料的多個區塊之間。選擇性材料可包括諸如多晶矽或其他用於形成如浮置閘極之結構的材料。諸如多晶矽的選擇性材料可能需要某些移除技術,這些移除技術能夠在移除其他材料的同時盡可能多地維持該選擇性材料。另一態樣中,在某些類型的溼式或腐蝕性蝕刻期間,可相對於犧牲材料優先地移除選擇性材料,因而可使用維持選擇性材料的移除技術。該等方法包括:沉積選擇性材料覆於半導體基材上。該等方法也可包括:在該選擇性材料與半導體基材中蝕刻至少一個溝槽,該至少一個溝槽在該半導體基材上建立至少兩個彼此隔離的該選擇性材料之區塊。可沉積該介電材料以至少部分填充該選擇性材料的該等隔離區塊之間的該溝槽。隨後可將該基材暴露至乾式蝕刻劑氣體,該乾式蝕刻劑氣體移除該選擇性材料的該等隔離區塊之間的該介電層的一部分而形成凹部。該乾式蝕刻劑氣體可包括含氟化合物與分子氫。 額外的實施例與特徵在某種程度上於下文的實施方式中提出,且對發明所屬技術領域中具有通常知識者而言,一旦審視本說明書將能明瞭該額外的實施例與特徵及/或可透過操作所揭露的實施例而學得該額外的實施例與特徵。透過說明書中所描述的手段、組合、與方法,可實現與達成所揭露的實施例的特徵與優點。 下文的描述是以解釋為目的,其中提出許多細節以使世人瞭解本發明的各實施例。然而,對於發明所屬技術領域中具有通常知識者而言,無須這些細節中的一些細節而可操作某些實施例,或可用額外的細節操作某些實施例。 本技術提供在半導體基材中蝕刻凹部的方法,該等方法中,使用實質上無氨氣的乾式蝕刻劑。透過納入極微濃度的氨氣,可強化蝕刻劑氣體內氟自由基的量,此舉可容許對氧化物品質較不敏感的移除。乾式蝕刻劑可包括含氟氣體以及分子氫。 在此描述一種在半導體基材中蝕刻凹部的方法。該等方法可包含:在該基材的溝槽中形成介電襯墊層,其中該襯墊層具有第一密度。該等方法也可包含:沉積第二介電層,該第二介電層至少部分位在該溝槽中於該襯墊層上。該第二介電層在沉積後最初為可流動,並且該第二介電層可具有第二密度,該第二密度低於該襯墊的第一密度。該等方法可進一步包括:將該基材暴露至乾式蝕刻劑,其中該蝕刻劑移除該第一襯墊層與該第二介電層的一部分而形成凹部,其中該乾式蝕刻劑包括含氟化合物與分子氫,且其中移除該第一介電襯墊層與移除該第二介電層的蝕刻速率比為約1:1.2至約1:1。 參考第1圖(該圖顯示根據所揭露的實施例的蝕刻製程100),可在半導體基材上形成介電襯墊層(110)。最初沉積在溝槽中的該介電襯墊層可被沉積成產生實質上共形的襯墊。共形是指所沉積的膜層在水平表面與垂直表面二者上具有一致的厚度,或階梯覆蓋率等於約1。襯墊也可形成為覆於基材的其他層上,所述其他層包括墊氧化物與浮置閘極。此襯墊助於避免矽通過可用於填充間隙的較低品質的可流動介電質短路,該等介電質可用於填充間隙是因為該等介電質有較佳的間隙填充品質,諸如可流動性。一些實施例中,介電襯墊層是透過較無法流動或非可流動的沉積技術所沉積,該沉積技術可以是HDP-CVD,或在其他實施例中可為諸如HARP的SACVD或諸如電漿強化TEOS與氧(或TEOS與臭氧)的PECVD。沉積的介電質可包括矽氧化物,諸如無摻雜的矽土(silica)玻璃或摻雜的矽土,諸如磷矽酸鹽玻璃、硼矽酸鹽玻璃、或硼磷矽酸鹽玻璃。另外其他的介電質可包括氮化矽與氮氧化矽。 HDP沉積產生具HDP品質氧化物(諸如氧化矽)的襯墊層以作為第一介電層,該第一介電層具有比由可流動製程沉積的氧化物高的第一密度以及整體品質。HDP膜是由以下方式產生:在低壓或甚至真空(經常是以射頻能量)激發反應物氣體,而在接近基材表面處建立電漿。電漿能量使元素具高度反應性且產生高密度與高品質膜。其他實施例中,可在基材上執行熱製程,以產生襯墊氧化物層,其中藉由將基材加熱至高溫而引發反應物氣體的化學反應,以誘導膜的反應與形成。 可沉積第二介電層(115),此步驟是由可流動沉積方法所產生,該方法可包括例如旋塗玻璃或可流動CVD。一些實施例中,可流動CVD用於覆蓋介電襯墊層並且填充基材中的溝槽。可流動氧化物可透過以下步驟形成:分開激發前驅物氣體,之後使這些前驅物氣體在基材正上方的處理腔室之區域中結合,而產生可流動氧化物,該可流動氧化物於溝槽頂部開始流動,之後向下流動以將該可流動氧化物填入而不至於建立空洞或接縫。第二介電層具有第二密度,該第二密度低於襯墊層的第一密度。除了填充溝槽外,一些實施例中,可流動氧化物可額外填充在墊氧化物層(諸如氮化矽)之間,或對於包括產生例如NAND快閃記憶體的情況而言,該可流動氧化物額外地可填充在多晶矽浮置閘極之間。 沉積後,可使用許多方法沉積最初可流動的介電層。例如,可使用可流動CVD製程,其中將矽前驅物導至容納基材的基材處理區域。另一前驅物僅在通過遠端電漿區域而建立自由基前驅物(諸如氮前驅物)後導入,該前驅物隨後流進基材處理區域並且與矽前驅物結合。此技術中,含矽前驅物並未直接被基材處理區域中施加的電漿功率所激發。反而,電漿功率只激發基材處理區域外的前驅物。此安排方式造成含矽與氮層以可流動式沉積進入受襯的溝槽中。膜的可流動性隨著沉積進展而衰減,且可流動性在下文所述的固化操作期間基本上消除。 含矽前驅物可含有碳及/或氮,以確保間隙填充之介電層形成期間的可流動性。一些實施例中,含矽前驅物可以是無碳的含矽前驅物,該無碳的含矽前驅物使間隙填充層能夠在固化製程期間歷經較少縐縮。除了其他類型的矽前驅物之外,該無碳的矽前驅物特別可以是例如矽與氮前驅物、矽與氫前驅物、或含矽氮與氫的前驅物。這些前驅物的特定範例可包括矽烷胺,除其他矽烷胺之外,該前驅物特別諸如為H2N(SiH3)、HN(SiH3)2、與N(SiH3)3。這些矽烷胺可以與額外氣體混合,該額外氣體可作用如載氣、反應性氣體、或前述兩種氣體。這些額外氣體的範例除其他氣體之外特別可包括H2、N2、NH3、He與Ar。無碳的矽前驅物之範例也可包括甲矽烷(SiH4),該甲矽烷為單獨存在或與其他含矽氣體(例如N(SiH3)3)、含氫氣體(例如H2)、及/或含氮氣體(例如N2、NH3)混合。含矽前驅物也可包括不具有碳或氮的矽化合物,諸如甲矽烷、乙矽烷等。若沉積的氧化物膜是受摻雜的氧化物膜,也可使用摻質前驅物,該摻質前驅物除了其他硼與磷摻質之外特別諸如為TEB、TMB、B2H6、TEPO、PH3、P2H6、與TMP。 氮可納入自由基前驅物與含矽前驅物之任一者或二者中。當氮存在於自由基前驅物中時,可稱為自由基氮前驅物。該自由基氮前驅物包括電漿流出物,該電漿流出物是透過激發電漿中較穩定的含氮前驅物而建立。例如,含有NH3及/或聯胺(N2H4)的相對穩定含氮前驅物可在腔室電漿區域或處理腔室外的遠端電漿系統(RPS)中活化,而形成該自由基氮前驅物,該自由基氮前驅物隨後輸送進入無電漿的基材處理區域。不同實施例中,該穩定的氮前驅物也可以是包含NH3與N2、NH3與H2、NH3與N2與H2、以及N2與H2的混合物。N2與H2的混合物中也可使用聯胺以取代NH3或與NH3結合使用。不同實施例中,穩定的氮前驅物之流速可為約200 sccm或更大、約300 sccm或更大、約500 sccm或更大、或者是約700 sccm或更大。含氮前驅物也可包括N2O、NO、NO2、與NH4OH。 所產生的自由基氮前驅物可包括.N、.NH、.NH2等之一或多者,且可伴隨在電漿中形成的離子化物種。其他實施例中,自由基氮前驅物在處理腔室的一區塊中生成,該區塊與基材處理區域分隔,在該基材處理區域,該等前驅物混合並且反應而沉積含矽與氮層於沉積基材(例如半導體晶圓)上。該分隔可併入供應反應物至基材處理區域的噴頭。該自由基氮前驅物也可伴隨載氣,諸如氬氣、氦氣等。氧可被同時遞送進入遠端電漿區域(以O2及/或O3的形式),以調整自由基氮前驅物中以及用此技術沉積的襯墊或間隙填充層中的氧含量的量。 該可流動性可能(至少某種程度上)是由於沉積膜中顯著的氫成份。例如,沉積的膜可具有矽氮烷形式的Si-NH-Si骨架,即Si-N-H膜。可流動性也可能是由於矽氮烷形式的短鍊聚合物所致。使短鍊聚合物與可流動性形成的氮可源自於自由基前驅物或含矽前驅物之任一者。當矽前驅物與自由基氮前驅物為無碳時,沉積的含矽與氮膜也是實質上無碳。當然,無碳並非必然意味該膜缺乏甚至痕量的碳。碳混入物(contamination)可存在於前驅物材料中而找到該碳混入物進入沉積的含矽與氮膜的途徑。然而,這些碳雜質的量遠低於具有碳基團的矽前驅物(例如TEOS、TMDSO等)中可見的量。 其他實施例中,第一與第二介電層二者皆為可流動或可能皆為不可流動。一些實施例中,介電質是透過不同機制沉積(例如第一介電質為不可流動,第二介電質為可流動),但取決於使用的反應物而具有類似的介電性質。尚有其他實施例,該第一介電質與該第二介電質是由相同機制沉積,但具有不同品質,這是由於使用不同反應物物種於該兩個介電質之故。 當基材溫度在沉積含矽膜期間維持在相對低溫的同時,可流動膜的生長可持續進展。該可流動氧化物膜可於低溫下沉積在基材表面,該低溫是透過在沉積期間冷卻基材所維持。基座可包括加熱及/或冷卻導管,該等導管在不同的實施例中將基座與基材溫度設定在約-40℃至約1000℃之間、約100℃至約600℃之間、低於約500℃或處於約400℃或更低。 已將可流動介電質沉積在基材上之後,可執行蝕刻製程以移除過多的介電質,以為後續的整合被動元件製造步驟做好準備。一些實施例中,乾式蝕刻劑氣體用於蝕刻該介電層(120)。該蝕刻劑移除第一襯墊層與第二介電層二者的一部分。包括在該蝕刻劑中的氣體可包括在進入半導體處理區域之前先通過遠端電漿區域而被激發的氣體。該蝕刻劑可包括含氟化合物與分子氫,且與介電層反應而產生固體副產物,該固體副產物會在基材溫度提昇到超過昇華溫度時昇華,從而移除過多的介電質。移除第一介電襯墊層與移除第二介電層的蝕刻速率比可為約1:2,或在其他實施例中可為約1:1.5、1:1.3、1:1.2、1:1.1、或約1:1。當蝕刻速率比等於1:1時,各別的介電質以相同速率被移除。 一些實施例中,乾式蝕刻劑氣體含有三氟化氮與分子氫。在其他實施例中,該乾式蝕刻劑氣體實質上無氨氣。三氟化氮與氫的乾式蝕刻劑氣體的組合可產生較緩慢的反應,該較緩慢的反應相較於包括氨氣的乾式蝕刻劑氣體對氧化物的品質較不具選擇性。添加氨氣可減少反應性物種中氟的濃度,而產生氟化銨與氟化氫銨。這些產物以一較快的速率移除較低密度與較低品質的可流動介電質,移除得比移除較高密度、較高品質的襯墊氧化物層(透過例如HDP沉積)快。因具有更為接近1:1的針對HDP氧化物的選擇性,相較於包括氨氣的乾式蝕刻劑氣體,該實質上無氨氣的乾式蝕刻劑氣體能夠產生具有較不凹陷的轉角輪廓之凹部。一些實施例中,實質上無氨氣的乾式蝕刻劑氣體產生抵靠凹部側壁的實質上平整的轉角輪廓。 可流動的介電質可在沉積之後固化,以改良介電膜品質。各實施例中,固化可在氧化環境(如蒸汽)、惰性環境(諸如氮氣)、或其他環境中執行。膜的可流動性隨著沉積進展而衰減,且可流動性基本上在固化操作期間消除。該固化操作可涉及將含矽與氮的層轉換成氧化矽。固化涉及提昇圖案化基材的溫度,以及將間隙填充介電層暴露至含氧環境。一些實施例中,升高的溫度誘導氧化物從襯墊層擴散進入間隙填充層,而提供來自間隙填充介電層下方的額外氧來源。該固化可以是退火,且可在低於約1000℃的溫度下執行。其他實施例中,固化可發生在低於約800℃、600℃、500℃、400℃、300℃、或低於約200℃。利用可流動介電質可減少製造製程的熱預算,且在一些情況中,該製程可在低於約600℃、500℃、400℃、300℃、200℃、或低於約100℃下執行,以維持可流動的介電質。 現在參考第2圖,在此描述蝕刻介電材料的方法200,該介電材料位在覆於半導體基材上的選擇性材料的多個區塊之間。該方法包括沉積選擇性材料覆於半導體基材上(210)。選擇性材料可以是期望在移除另外材料(separate material)的同時還維持住的任何材料。例如(且並非意欲限制本發明),該選擇性材料可以是在快閃記憶體單元中做為浮置閘極的多晶矽。另一材料(諸如介電質)可與選擇性多晶矽共同位於基材上。一些實施例中的目的可為移除介電材料的同時維持選擇性多晶矽。在這樣的情況中,該介電質可透過限制移除或不移除多晶矽的方式移除。此舉可用優先移除該介電質的特殊蝕刻技術執行。例如,利用與氧化物或氮化物反應但不與多晶矽反應的乾式蝕刻劑氣體提供了一種移除介電質的同時維持選擇性材料的方式。其他實施例中,該選擇性材料是矽、沉積的金屬、介電質、或可沉積在基材上的任何其他材料,其中該目的是在移除另外材料期間移除顯著少量的選擇性材料。 已沉積選擇性材料之後,可蝕刻溝槽穿過選擇性材料(215),且在一些例子中是蝕刻溝槽穿過半導體基材。該蝕刻建立位於半導體基材上的選擇性材料的隔離區塊,該等區塊被蝕刻的溝槽所分隔。該等溝槽可顯示高深寬比,其中溝槽深度可顯著地比該溝槽的寬度還大。示範性的溝槽可具有約2:1或更大、約3:1或更大、約5:1、約7:1、或約10:1或更大等深寬比。 該方法可進一步包括沉積介電材料於該溝槽內(220)。該沉積可包括完全填充該溝槽以及沉積充分的介電質以覆蓋該選擇性材料,或在其他實施例中,該沉積可部分填充該溝槽。該介電質可沉積越過基材的高度(level),使得該介電質至少部分填充在選擇性材料的隔離區塊之間。取決於溝槽的特性,可透過可流動或非可流動的方法沉積介電材料。在一些窄且深的溝槽的實施例中,可用可流動的方式沉積該介電質,以限制空洞發展的可能性。其他實施例中,更高品質的介電質可用於場部件之間改良的絕緣。一些實施例中,使用旋塗玻璃沉積介電材料。替代性實施例中,介電材料是透過可流動CVD所沉積。 一些實施例中,可執行多種介電質沉積而填充該溝槽。例如,在溝槽被可流動介電質填充之前,可將襯墊層沉積在該溝槽內。這樣的組合可提供以下優點:改良對襯墊層的絕緣,以及改良可流動介電質的填充特性。額外範例包括以一系列步驟沉積介電質,該等步驟包括沉積與往回蝕刻介電質,以盡量減少麵包狀現象(bread-loafing)與空洞形成。最初的介電層可沉積在溝槽中,之後進行中間蝕刻製程,以移除沿著溝槽頂部的介電質堆積。蝕刻後,可用後續介電材料的沉積填充溝槽的其餘部分。 可在沉積介電層後執行蝕刻製程(225)。該蝕刻可包括將基材暴露至乾式蝕刻劑氣體,該乾式蝕刻劑氣體移除選擇性材料的隔離區塊之間的一部分介電層,而形成凹部。該乾式蝕刻劑氣體可以是包括含氟化合物以及分子氫的氣體混合物。該等氣體可分別流進基材所處的處理腔室,且在一些實施例中,該乾式蝕刻劑氣體在流進處理腔室之前被遠端電漿源激發。一些實施例中,乾式蝕刻劑氣體實質上無氨氣,而可提供較慢的反應,且有較大量的氟自由基可用於反應。使用實質上無氨氣的乾式蝕刻劑氣體可產生具有實質上平整的轉角輪廓的凹部,這是由於防止氟自由基還原成包括氟化銨與氟化氫銨之產物所致。乾式蝕刻劑氣體可完全無氨氣,以進一步防止因形成中間氟化學物質(包括氟化銨與氟化氫銨)所造成的氟自由基移除。 一些沉積中,介電層沉積成遠高於選擇性材料的高度,且可執行中間的介電質移除。諸如化學機械研磨之類的製程可用於移除過多的介電質。選擇性材料可用做為蝕刻停止層,該蝕刻停止層可例如為場閘極多晶矽或氮化矽。一旦介電質已被移除降至選擇性材料層,則乾式蝕刻劑可用於移除位在選擇性材料的區塊之間的介電質。 可基於選擇性材料的有效場高度移除位在選擇性材料的區塊之間的介電質。例如,該介電質可被蝕刻至介於約200埃至約1200埃之間。額外的範例可具有被蝕刻至介於約400埃至約1000埃之間、介於約600埃至約800埃之間等的介電質。有多個選擇性材料區塊且有在這些區塊之間必須移除介電質的多個區域時,乾式蝕刻劑氣體可提供單元至單元間(cell to cell)變化低於約10 nm的凹部。示範性的單元間(intercell)凹部深度之間的有效場高度變化低於約8 nm或低於約6 nm。乾式蝕刻劑氣體可提供單元之間蝕刻的一致性,其中單元凹部深度與形狀之間的偏差低於5%。單元深度與形狀之間的差異可低於約3%、約2%、約1.5%、約1%、約0.5%、約0.1%等。 在介電質已從選擇性材料的區塊之間移除之後所得的凹部輪廓可具有基底部(floor),該基底部由基材的淺溝槽隔離中的殘餘介電材料所界定。該基底部的輪廓可在橫越該介電質至介電材料與選擇性材料交會處的位置為止皆為實質上平整。此交會點可界定凹部的轉角,且介電材料的轉角輪廓可為與選擇性材料呈大約直角。當界定凹部的介電材料基底部與選擇性材料壁之間形成直角時,平整的轉角輪廓業已形成。可形成超過或低於90°的角度,在此情況中,轉角輪廓可為實質上平整。於轉角處可能無法完全移除介電質,而在凹部轉角產生介電質的稍微凹陷。儘管該凹陷可能無法界定出完美的圓形剖面,然而該凹部側邊與基底部的曲率半徑可低於約5 nm。在一些實施例中,該曲率半徑可能低於約3 nm、2 nm、1 nm、5埃、3埃、2埃、或約1埃,從而提供實質上平整的轉角輪廓。 該介電質可在沉積後且於蝕刻前固化,以改良介電膜的品質。固化可透過任何先前所討論的方法執行。該固化可以是退火,且可在低於約1000℃的溫度下執行,例如,該固化可發生在低於約800℃、600℃、500℃、400℃、300℃或低於約200℃。利用可流動介電質可減少製造製程的熱預算,且在一些情況中,該等製程可在低於約600℃、500℃、400℃、300℃、200℃或低於約100℃下執行,以維持可流動的介電質。 一些實施例中,已知為穿隧氧化物的氧化物層沉積在半導體基材與選擇性材料之間,以確保浮置閘極的隔離。該穿隧氧化物是在最初沉積選擇性材料與形成溝槽之前沉積。溝槽中與選擇性材料區塊之間所沉積的介電質可被蝕刻降至穿隧氧化物的高度。或者,該介電材料可以被蝕刻至選擇性材料區塊之間,但不被蝕刻降至穿隧氧化物的高度。 已從選擇性材料區塊之間移除介電材料後,可發生後續的製造。隔離層可沉積覆於選擇性層上以及蝕刻後的凹部中。此隔離層可提供例如浮置閘極與控制閘極(可在之後沉積)之間的襯墊。另一材料(諸如金屬、介電質、或某些其他材料)的沉積物可在隔離層已鋪設後沉積。該後續材料可以是多晶矽,作為快閃記憶體單元(諸如NAND快閃記憶體元件)中的控制閘極。蝕刻後的介電閘極的實質上平整的轉角輪廓能夠使後續整合的被動元件層填充在(例如)寬度可為幾奈米的溝槽內側。當隔離層與後續的控制閘極層沉積在具有實質上平整的轉角輪廓及/或較佳的單元一致性的凹部內時,可因提供改良的界面供IPD規模縮放而防止進一步的整合問題。 現在轉至第3A圖,剖面視圖中顯示基材310,在該基材310上已執行根據本發明之方法的蝕刻製程。穿隧氧化物320沉積在基材310與選擇性材料325之間。該選擇性材料325可以是金屬、介電質、或氧化物,或者是某些其他材料。在一些實施例中,選擇性材料325可以是多晶矽,該多晶矽可受摻雜或不受摻雜。溝槽315建立在該等層中,且被介電材料319填充。該介電材料可在沉積後最初為可流動,且可在沉積後固化。該介電質隨後可往回蝕刻而形成具有轉角335的凹部單元330。該蝕刻製程可使用含氟氣體與分子氫的乾式蝕刻劑氣體,且該乾式蝕刻劑氣體實質上無氨氣。雖然轉角335顯示些微凹陷,其他實施例可使該等轉角在界定凹部單元330的介電質基底部與選擇性材料壁之間的界面處平整或實質上平整,而建立直角的交會。第3A圖的剖面視圖可以是處理半導體元件中的中間步驟,該處理將包括沉積後續材料層(諸如多晶矽)於所形成的凹部單元內。此後續材料可在形成隔離層或襯墊層覆於選擇性材料上及凹部內之後沉積。該介電層319可包括襯墊層與額外的間隙填充介電層二者。 第3B圖中,剖面視圖顯示基材310,在該基材310上已執行根據本發明之方法的蝕刻製程。基材310具有墊層340,該墊層340於形成溝槽315前沉積。溝槽形成後,可沉積介電襯墊材料317。襯墊317可例如透過HDP沉積。接著,沉積介電材料319在溝槽315內覆於襯墊層317上。介電材料319可在沉積後最初為可流動,且可在沉積後固化。介電材料319可與介電襯墊層317具有相同或不同的品質及/或密度。例如,襯墊層319可比介電材料319更高品質。 介電質319可延伸於墊氧化物340上方,且可在最初以諸如化學機械研磨之類的製程移除而降至墊氧化物層。可執行蝕刻製程,其中乾式蝕刻劑氣體混合物用於移除介電材料319與介電襯墊層317。乾式蝕刻劑氣體混合物可包括含氟氣體與分子氫,且可實質上無氨氣,或完全無氨氣。該乾式蝕刻劑氣體移除介電材料319與襯墊層317,而產生包括轉角335的凹部330。該轉角335的輪廓可實質上平整,這是指介電材料319與介電襯墊317被移除至實質上相同的深度。移除至同等深度是指乾式蝕刻劑氣體實質上對氧化物品質不敏感。 範例 在使用有氨氣及無氨氣的蝕刻劑氣體混合物的蝕刻選擇性之間製作比較性範例。這些蝕刻是在先襯以HDP襯墊層再以可流動氧化物填充的溝槽上進行。該等介電質暴露至含有三氟化氮與分子氫的乾式蝕刻劑氣體混合物。一個範例中,該乾式蝕刻劑氣體也含有氨氣,而在比較性範例中,該乾式蝕刻劑氣體實質上無氨。如下文中的表1所見,含有氨氣的乾式蝕刻劑氣體比實質上無氨氣的乾式蝕刻劑氣體移除較多的可流動氧化物(相較於HDP氧化物)。 第4A圖與第4B圖顯示已執行蝕刻後的基材的比較TEM影像。第4A圖顯示已在上面執行利用氨氣的蝕刻的基材。影像中所見的轉角輪廓顯示凹陷,這是指於溝槽內並未一致地移除介電質。然而,第4B圖顯示在上面以實質上無氨氣的乾式蝕刻劑氣體執行的蝕刻的基材。如影像中可見,轉角輪廓實質上平整,其中介電層交會氮化物墊,而建立幾乎成直角的轉角輪廓。平整的轉角輪廓是指,在溝槽內一致地移除介電質。 已揭露數個實施例,發明所屬技術領域中具有通常知識者將瞭解,可使用各種修飾、替代性架構、與等效物而不背離所揭露的實施例之精神。此外,在此並未描述許多已知的製程與元件,以避免不必要地混淆了本發明。因此,前述的說明書內容不應被視為限制本發明的範疇。 請注意,可將個別的實施例描述成以流程圖、流程的示意圖、或方塊圖所繪的製程。雖然流程圖可將方法描述為依序的製程,但可平行或同時執行該等操作中的許多操作。此外,可重新排列操作順序。當完成製程的操作時,可終結製程,但該製程可有不在圖中討論或包括在圖中的額外步驟。再者,任何特定描述的製程中並非所有操作可發生在所有實施例中。製程可對應方法、函數、程序、子常式、子程式等。當製程對應函數時,該製程的終結對應函數返回至呼叫函數或主函數。 當提供一數值範圍時,應瞭解,除非上下文中另外清楚地指示,否則也特定地揭露該範圍的上限與下限之間的每一居中數值(該數值至下限單位的最小的小數)。也涵蓋了陳述的範圍中任何陳述數值(或居中數值)以及該陳述範圍中的任何其他陳述數值(或居中數值)之間的每一較小範圍。在該陳述範圍中的任何特定被排除的極限的條件下,這些較小範圍的上限與下限可獨立地被包括在該範圍中或被排除於該範圍,且本發明內也涵蓋了在該等較小範圍中包括任一、無一、或兩個極限的每一範圍。當所陳述的範圍包括該等極限的一者或二者時,也包括了排除這些所包括的極限之任一者或二者的範圍。 如在此以及所附的申請專利範圍中所用,除非上下文另外清楚地指示,否則單數形式的「一」、「該」、與「所述」包括複數的參考物。因此,例如「一介電材料」所指的參考物包括複數個此類材料,且「該應用」所指的參考物包括一或多個應用及發明所屬技術領域中具有通常知識者已知的該等應用之等效物與諸如此類之物的參考物。 同樣,「包含」與「包括」等詞彙在用於此說明書及隨後的申請專利範圍時,申請人希望該等詞彙指定存在陳述的特徵、整體、部件、或步驟,但這些詞彙不排除一或多個其他特徵、整體、部件、步驟、動作、或群組的存在或增加。 100‧‧‧蝕刻製程 110-120‧‧‧步驟 200‧‧‧蝕刻製程 210-225‧‧‧步驟 310‧‧‧基材 315‧‧‧溝槽 317‧‧‧介電襯墊 319‧‧‧介電材料 320‧‧‧穿隧氧化物 325‧‧‧選擇性材料 330‧‧‧凹部單元 335‧‧‧轉角 340‧‧‧墊層 透過參考說明書的其餘部分以及圖式,能夠進一步瞭解所揭露的實施例的本質與優點。 第1圖顯示根據所揭露的實施例的蝕刻製程的流程圖。 第2圖顯示根據所揭露的實施例的蝕刻製程的流程圖。 第3A圖顯示基材的剖面視圖,該基材上已執行根據本發明方法之蝕刻製程。 第3B圖顯示基材的剖面視圖,該基材上已執行根據本發明方法之蝕刻製程。 第4A圖顯示基材的TEM影像,在該基材上已執行利用氨氣的蝕刻。 第4B圖顯示基材的TEM影像,在該基材上已執行根據本發明方法的蝕刻製程。 在附圖中,類似的部件及/或特徵可具有相同的元件符號。進一步而言,同類型的各部件可由以下方式區分:在元件符號後附加區分類似部件及/或特徵的字母。若在說明書中只用第一元件符號,則該描述可應用至具有相同的第一元件符號(無論後綴的字母為何)的類似部件及/或特徵之任何一者。 310‧‧‧基材 315‧‧‧溝槽 317‧‧‧介電襯墊 319‧‧‧介電材料 330‧‧‧凹部單元 335‧‧‧轉角 340‧‧‧墊層
权利要求:
Claims (16) [1] 一種在一半導體基材中蝕刻一凹部的方法,該方法包含以下步驟:形成一介電襯墊層於該基材的一溝槽中,其中該襯墊層具有一第一密度;沉積一第二介電層,使該第二介電層至少部分位在該溝槽中於該襯墊層上,其中該第二介電層在該沉積後一開始為可流動,且其中該第二介電層具有一第二密度,該第二密度低於該襯墊層的該第一密度;將該基材暴露至乾式蝕刻劑,其中該蝕刻劑移除該第一襯墊層與該第二介電層的一部分,而形成該凹部,其中該乾式蝕刻劑包含一含氟化合物與分子氫,且其中移除該第一介電襯墊層與移除該第二介電層的蝕刻速率比為約1:1.2至約1:1。 [2] 如請求項1所述的方法,其中該第一介電襯墊層包含一高密度電漿所形成的氧化矽層。 [3] 如請求項1所述的方法,其中該第二介電層包含由FCVD所沉積的氧化矽層。 [4] 如請求項1所述的方法,其中該含氟化合物包含NF3。 [5] 如請求項1所述的方法,其中該乾式蝕刻劑實質上無氨氣。 [6] 如請求項1所述的方法,其中該凹部具有一實質上平整的轉角輪廓。 [7] 如請求項1所述的方法,進一步包含以下步驟:在該第二介電層沉積之後,固化該第二介電層。 [8] 如請求項1所述的方法,其中該等介電層是在約400℃或更低之溫度下沉積與蝕刻。 [9] 一種蝕刻一介電材料的方法,該介電材料位在覆於一半導體基材上的一選擇性材料的多個區塊之間,該方法包括以下步驟:沉積一選擇性材料覆於一半導體基材上;在該選擇性材料與半導體基材中蝕刻至少一個溝槽,該至少一個溝槽在該半導體基材上建立至少兩個彼此隔離的該選擇性材料之區塊;將該介電材料沉積於該溝槽中,其中該介電材料至少部分填充於該選擇性材料的該等隔離區塊之間;以及將該基材暴露至一乾式蝕刻劑氣體,該乾式蝕刻劑氣體移除該選擇性材料的該等隔離區塊之間的該介電層的一部分而形成一凹部,其中該乾式蝕刻劑氣體包括一含氟化合物與分子氫。 [10] 如請求項9所述的方法,其中該乾式蝕刻劑實質上無氨氣。 [11] 如請求項9所述的方法,其中該選擇性材料是多晶矽。 [12] 如請求項9所述的方法,進一步包含以下步驟:在沉積該選擇性材料之前,沉積一穿隧氧化物於該半導體基材上,其中該選擇性材料是沉積在該穿隧氧化物上。 [13] 如請求項9所述的方法,其中該介電材料是由可流動CVD所沉積。 [14] 如請求項9所述的方法,其中該凹部具有一實質上平整的轉角輪廓。 [15] 如請求項9所述的方法,進一步包含以下步驟:在該第二介電層沉積之後,固化該第二介電層。 [16] 如請求項15所述的方法,其中該等介電層是在約400℃或更低之溫度下沉積、固化、與蝕刻。
类似技术:
公开号 | 公开日 | 专利标题 TWI553726B|2016-10-11|改良的溝槽內輪廓 TWI541898B|2016-07-11|用於半導體整合之非敏性乾式移除製程 JP6856651B2|2021-04-07|半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 TW202104647A|2021-02-01|使用氟移除形成一結構之方法 US8187951B1|2012-05-29|CVD flowable gap fill KR20110104482A|2011-09-22|낮은 에치 레이트 유전체 라이너들을 이용한 갭충진 개선 JP2007088113A|2007-04-05|半導体装置の製造方法 JP2012531045A|2012-12-06|ホウ素膜界面技術 KR20020085487A|2002-11-16|헥사 클로로 디실란 및 암모니아를 사용한 원자층의적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법 CN103415914A|2013-11-27|平面化后的致密化 TW201442148A|2014-11-01|經控制之氣隙的形成 US20010012701A1|2001-08-09|Method of forming a silicon nitride thin film JP5378287B2|2013-12-25|半導体装置の製造方法 KR20110052475A|2011-05-18|갭필 방법 KR20210158809A|2021-12-31|실리콘이 구비된 층을 형성하는 방법 KR102291056B1|2021-08-19|보론 및 카본 함유 물질들의 퇴적 KR20110055701A|2011-05-25|질화 산화 규소막 및 그의 형성 방법, 컴퓨터 판독 가능한 기억 매체 및, 플라즈마 cvd 장치 KR20160139504A|2016-12-07|반도체 소자의 제조 방법 CN113841225A|2021-12-24|用于高深宽比特征蚀刻期间的关键尺寸控制和形成保护层的碳基沉积物 Sokolov et al.2010|A Mechanism for Increasing Growth Rate of Undoped SACVD Film KR20080013269A|2008-02-13|반도체 소자 제조를 위한 박막형성방법 TW201622005A|2016-06-16|絕緣膜之沉積方法
同族专利:
公开号 | 公开日 KR20140065478A|2014-05-29| KR102068636B1|2020-01-21| US8927390B2|2015-01-06| US9012302B2|2015-04-21| TWI553726B|2016-10-11| US20130260533A1|2013-10-03| CN103907182A|2014-07-02| US20150031211A1|2015-01-29| WO2013049173A3|2013-06-13| WO2013049173A2|2013-04-04| CN103907182B|2018-01-09|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题 US2369620A|1941-03-07|1945-02-13|Battelle Development Corp|Method of coating cupreous metal with tin| US3451840A|1965-10-06|1969-06-24|Us Air Force|Wire coated with boron nitride and boron| US4632857A|1974-05-24|1986-12-30|Richardson Chemical Company|Electrolessly plated product having a polymetallic catalytic film underlayer| US4397812A|1974-05-24|1983-08-09|Richardson Chemical Company|Electroless nickel polyalloys| US4006047A|1974-07-22|1977-02-01|Amp Incorporated|Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates| US3937857A|1974-07-22|1976-02-10|Amp Incorporated|Catalyst for electroless deposition of metals| US4265943A|1978-11-27|1981-05-05|Macdermid Incorporated|Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions| US4234628A|1978-11-28|1980-11-18|The Harshaw Chemical Company|Two-step preplate system for polymeric surfaces| US4232060A|1979-01-22|1980-11-04|Richardson Chemical Company|Method of preparing substrate surface for electroless plating and products produced thereby| US4214946A|1979-02-21|1980-07-29|International Business Machines Corporation|Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant| US4209357A|1979-05-18|1980-06-24|Tegal Corporation|Plasma reactor apparatus| IT1130955B|1980-03-11|1986-06-18|Oronzio De Nora Impianti|Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti| US4368223A|1981-06-01|1983-01-11|Asahi Glass Company, Ltd.|Process for preparing nickel layer| DE3205345A1|1982-02-15|1983-09-01|Philips Patentverwaltung Gmbh, 2000 Hamburg|"verfahren zur herstellung von fluordotierten lichtleitfasern"| US4585920A|1982-05-21|1986-04-29|Tegal Corporation|Plasma reactor removable insert| JPS591671A|1982-05-28|1984-01-07|Fujitsu Ltd|Plasma cvd device| JPH0133380B2|1983-09-12|1989-07-13|Hitachi Ltd|| US4579618A|1984-01-06|1986-04-01|Tegal Corporation|Plasma reactor apparatus| US4656052A|1984-02-13|1987-04-07|Kyocera Corporation|Process for production of high-hardness boron nitride film| US4571819A|1984-11-01|1986-02-25|Ncr Corporation|Method for forming trench isolation structures| JPS61276977A|1985-05-30|1986-12-06|Canon Inc|Formation of deposited film| US4807016A|1985-07-15|1989-02-21|Texas Instruments Incorporated|Dry etch of phosphosilicate glass with selectivity to undoped oxide| US4714520A|1985-07-25|1987-12-22|Advanced Micro Devices, Inc.|Method for filling a trench in an integrated circuit structure without producing voids| US4749440A|1985-08-28|1988-06-07|Fsi Corporation|Gaseous process and apparatus for removing films from substrates| US4690746A|1986-02-24|1987-09-01|Genus, Inc.|Interlayer dielectric process| US4951601A|1986-12-19|1990-08-28|Applied Materials, Inc.|Multi-chamber integrated process system| US4960488A|1986-12-19|1990-10-02|Applied Materials, Inc.|Reactor chamber self-cleaning process| US5000113A|1986-12-19|1991-03-19|Applied Materials, Inc.|Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process| US4872947A|1986-12-19|1989-10-10|Applied Materials, Inc.|CVD of silicon oxide using TEOS decomposition and in-situ planarization process| US4892753A|1986-12-19|1990-01-09|Applied Materials, Inc.|Process for PECVD of silicon oxide using TEOS decomposition| US5228501A|1986-12-19|1993-07-20|Applied Materials, Inc.|Physical vapor deposition clamping mechanism and heater/cooler| JPS63204726A|1987-02-20|1988-08-24|Anelva Corp|Vacuum treatment device| US5322976A|1987-02-24|1994-06-21|Polyonics Corporation|Process for forming polyimide-metal laminates| US4868071A|1987-02-24|1989-09-19|Polyonics Corporation|Thermally stable dual metal coated laminate products made from textured polyimide film| DE3856483T2|1987-03-18|2002-04-18|Toshiba Kawasaki Kk|Verfahren zur Herstellung von Dünnschichten| US4793897A|1987-03-20|1988-12-27|Applied Materials, Inc.|Selective thin film etch process| US5198034A|1987-03-31|1993-03-30|Epsilon Technology, Inc.|Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment| EP0286306B1|1987-04-03|1993-10-06|Fujitsu Limited|Method and apparatus for vapor deposition of diamond| US4753898A|1987-07-09|1988-06-28|Motorola, Inc.|LDD CMOS process| US4886570A|1987-07-16|1989-12-12|Texas Instruments Incorporated|Processing apparatus and method| US4878994A|1987-07-16|1989-11-07|Texas Instruments Incorporated|Method for etching titanium nitride local interconnects| US4810520A|1987-09-23|1989-03-07|Magnetic Peripherals Inc.|Method for controlling electroless magnetic plating| US4981551A|1987-11-03|1991-01-01|North Carolina State University|Dry etching of silicon carbide| US4865685A|1987-11-03|1989-09-12|North Carolina State University|Dry etching of silicon carbide| US4851370A|1987-12-28|1989-07-25|American Telephone And Telegraph Company, At&T Bell Laboratories|Fabricating a semiconductor device with low defect density oxide| US4904341A|1988-08-22|1990-02-27|Westinghouse Electric Corp.|Selective silicon dioxide etchant for superconductor integrated circuits| US4894352A|1988-10-26|1990-01-16|Texas Instruments Inc.|Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride| JPH02121330A|1988-10-31|1990-05-09|Hitachi Ltd|Plasma processing and device therefor| KR930004115B1|1988-10-31|1993-05-20|후지쓰 가부시끼가이샤|애싱처리방법 및 장치| DE68928402T2|1988-12-27|1998-03-12|Toshiba Kawasaki Kk|Verfahren zur Entfernung einer Oxidschicht auf einem Substrat| JP2981243B2|1988-12-27|1999-11-22|株式会社東芝|表面処理方法| US4985372A|1989-02-17|1991-01-15|Tokyo Electron Limited|Method of forming conductive layer including removal of native oxide| IT216961Z2|1989-03-07|1991-10-21|Roltra Spa|Dispositivo attuatore per bloccaserratura elettrico| JPH02121330U|1989-03-15|1990-10-02||| US5186718A|1989-05-19|1993-02-16|Applied Materials, Inc.|Staged-vacuum wafer processing system and method| US5061838A|1989-06-23|1991-10-29|Massachusetts Institute Of Technology|Toroidal electron cyclotron resonance reactor| US5270125A|1989-07-11|1993-12-14|Redwood Microsystems, Inc.|Boron nutride membrane in wafer structure| US5013691A|1989-07-31|1991-05-07|At&T Bell Laboratories|Anisotropic deposition of silicon dioxide| US4994404A|1989-08-28|1991-02-19|Motorola, Inc.|Method for forming a lightly-doped drain structure in a semiconductor device| US4980018A|1989-11-14|1990-12-25|Intel Corporation|Plasma etching process for refractory metal vias| DE69111493T2|1990-03-12|1996-03-21|Ngk Insulators Ltd|Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.| JP2960466B2|1990-03-19|1999-10-06|株式会社日立製作所|半導体デバイスの配線絶縁膜の形成方法及びその装置| US5089441A|1990-04-16|1992-02-18|Texas Instruments Incorporated|Low-temperature in-situ dry cleaning process for semiconductor wafers| US5328810A|1990-05-07|1994-07-12|Micron Technology, Inc.|Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process| US5147692A|1990-05-08|1992-09-15|Macdermid, Incorporated|Electroless plating of nickel onto surfaces such as copper or fused tungston| US5238499A|1990-07-16|1993-08-24|Novellus Systems, Inc.|Gas-based substrate protection during processing| JPH04228572A|1990-08-10|1992-08-18|Sumitomo Electric Ind Ltd|Method for synthesizing hard boron nitride| US5235139A|1990-09-12|1993-08-10|Macdermid, Incorprated|Method for fabricating printed circuits| US5089442A|1990-09-20|1992-02-18|At&T Bell Laboratories|Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd| KR930011413B1|1990-09-25|1993-12-06|가부시키가이샤 한도오따이 에네루기 겐큐쇼|펄스형 전자파를 사용한 플라즈마 cvd 법| JPH04142738A|1990-10-04|1992-05-15|Sony Corp|Dry-etching method| US5549780A|1990-10-23|1996-08-27|Semiconductor Energy Laboratory Co., Ltd.|Method for plasma processing and apparatus for plasma processing| JP2640174B2|1990-10-30|1997-08-13|三菱電機株式会社|半導体装置およびその製造方法| JP3206916B2|1990-11-28|2001-09-10|住友電気工業株式会社|欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス| US5578130A|1990-12-12|1996-11-26|Semiconductor Energy Laboratory Co., Ltd.|Apparatus and method for depositing a film| WO1992012535A1|1991-01-08|1992-07-23|Fujitsu Limited|Process for forming silicon oxide film| JP2697315B2|1991-01-23|1998-01-14|日本電気株式会社|フッ素含有シリコン酸化膜の形成方法| JPH04239723A|1991-01-23|1992-08-27|Nec Corp|Manufacture of semiconductor device| JP2787142B2|1991-03-01|1998-08-13|上村工業株式会社|無電解錫、鉛又はそれらの合金めっき方法| US5897751A|1991-03-11|1999-04-27|Regents Of The University Of California|Method of fabricating boron containing coatings| JPH04341568A|1991-05-16|1992-11-27|Toshiba Corp|Method for forming thin film and device therefor| WO1992020833A1|1991-05-17|1992-11-26|Lam Research Corporation|A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT| JP2699695B2|1991-06-07|1998-01-19|日本電気株式会社|化学気相成長法| US5203911A|1991-06-24|1993-04-20|Shipley Company Inc.|Controlled electroless plating| US5279865A|1991-06-28|1994-01-18|Digital Equipment Corporation|High throughput interlevel dielectric gap filling process| US5240497A|1991-10-08|1993-08-31|Cornell Research Foundation, Inc.|Alkaline free electroless deposition| JPH05226480A|1991-12-04|1993-09-03|Nec Corp|半導体装置の製造方法| US5290382A|1991-12-13|1994-03-01|Hughes Aircraft Company|Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films| US5352636A|1992-01-16|1994-10-04|Applied Materials, Inc.|In situ method for cleaning silicon surface and forming layer thereon in same chamber| US5300463A|1992-03-06|1994-04-05|Micron Technology, Inc.|Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers| JP3084497B2|1992-03-25|2000-09-04|東京エレクトロン株式会社|SiO2膜のエッチング方法| JP2773530B2|1992-04-15|1998-07-09|日本電気株式会社|半導体装置の製造方法| JP2792335B2|1992-05-27|1998-09-03|日本電気株式会社|半導体装置の製造方法| US5252178A|1992-06-24|1993-10-12|Texas Instruments Incorporated|Multi-zone plasma processing method and apparatus| JP3688726B2|1992-07-17|2005-08-31|株式会社東芝|半導体装置の製造方法| US5380560A|1992-07-28|1995-01-10|International Business Machines Corporation|Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition| US5271972A|1992-08-17|1993-12-21|Applied Materials, Inc.|Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity| US5326427A|1992-09-11|1994-07-05|Lsi Logic Corporation|Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation| US5306530A|1992-11-23|1994-04-26|Associated Universities, Inc.|Method for producing high quality thin layer films on substrates| US5382311A|1992-12-17|1995-01-17|Tokyo Electron Limited|Stage having electrostatic chuck and plasma processing apparatus using same| US5500249A|1992-12-22|1996-03-19|Applied Materials, Inc.|Uniform tungsten silicide films produced by chemical vapor deposition| US5756402A|1992-12-28|1998-05-26|Kabushiki Kaisha Toshiba|Method of etching silicon nitride film| US5624582A|1993-01-21|1997-04-29|Vlsi Technology, Inc.|Optimization of dry etching through the control of helium backside pressure| US5345999A|1993-03-17|1994-09-13|Applied Materials, Inc.|Method and apparatus for cooling semiconductor wafers| US5302233A|1993-03-19|1994-04-12|Micron Semiconductor, Inc.|Method for shaping features of a semiconductor structure using chemical mechanical planarization | JP3236111B2|1993-03-31|2001-12-10|キヤノン株式会社|プラズマ処理装置及び処理方法| US5695568A|1993-04-05|1997-12-09|Applied Materials, Inc.|Chemical vapor deposition chamber| KR0142150B1|1993-04-09|1998-07-15|윌리엄 티. 엘리스|붕소 질화물을 에칭하기 위한 방법| US5416048A|1993-04-16|1995-05-16|Micron Semiconductor, Inc.|Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage| DE69432383D1|1993-05-27|2003-05-08|Applied Materials Inc|Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase| US5591269A|1993-06-24|1997-01-07|Tokyo Electron Limited|Vacuum processing apparatus| US5560779A|1993-07-12|1996-10-01|Olin Corporation|Apparatus for synthesizing diamond films utilizing an arc plasma| WO1995002900A1|1993-07-15|1995-01-26|Astarix, Inc.|Aluminum-palladium alloy for initiation of electroless plating| EP0637063B1|1993-07-30|1999-11-03|Applied Materials, Inc.|Method for depositing silicon nitride on silicium surfaces| US5483920A|1993-08-05|1996-01-16|Board Of Governors Of Wayne State University|Method of forming cubic boron nitride films| US5468597A|1993-08-25|1995-11-21|Shipley Company, L.L.C.|Selective metallization process| US5384284A|1993-10-01|1995-01-24|Micron Semiconductor, Inc.|Method to form a low resistant bond pad interconnect| SE501888C2|1993-10-18|1995-06-12|Ladislav Bardos|En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden| JPH07130713A|1993-11-04|1995-05-19|Fujitsu Ltd|ダウンフローエッチング装置| JPH07161703A|1993-12-03|1995-06-23|Ricoh Co Ltd|半導体装置の製造方法| US5505816A|1993-12-16|1996-04-09|International Business Machines Corporation|Etching of silicon dioxide selectively to silicon nitride and polysilicon| JPH07193214A|1993-12-27|1995-07-28|Mitsubishi Electric Corp|バイアホール及びその形成方法| US5415890A|1994-01-03|1995-05-16|Eaton Corporation|Modular apparatus and method for surface treatment of parts with liquid baths| US5403434A|1994-01-06|1995-04-04|Texas Instruments Incorporated|Low-temperature in-situ dry cleaning process for semiconductor wafer| US5399237A|1994-01-27|1995-03-21|Applied Materials, Inc.|Etching titanium nitride using carbon-fluoride and carbon-oxide gas| US5451259A|1994-02-17|1995-09-19|Krogh; Ole D.|ECR plasma source for remote processing| US5439553A|1994-03-30|1995-08-08|Penn State Research Foundation|Controlled etching of oxides via gas phase reactions| US5468342A|1994-04-28|1995-11-21|Cypress Semiconductor Corp.|Method of etching an oxide layer| US6110838A|1994-04-29|2000-08-29|Texas Instruments Incorporated|Isotropic polysilicon plus nitride stripping| US5531835A|1994-05-18|1996-07-02|Applied Materials, Inc.|Patterned susceptor to reduce electrostatic force in a CVD chamber| US5767373A|1994-06-16|1998-06-16|Novartis Finance Corporation|Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms| EP0697467A1|1994-07-21|1996-02-21|Applied Materials, Inc.|Method and apparatus for cleaning a deposition chamber| US5563105A|1994-09-30|1996-10-08|International Business Machines Corporation|PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element| JPH08148470A|1994-11-21|1996-06-07|Sanyo Electric Co Ltd|半導体装置の製造方法| US5558717A|1994-11-30|1996-09-24|Applied Materials|CVD Processing chamber| US5571576A|1995-02-10|1996-11-05|Watkins-Johnson|Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition| US6039851A|1995-03-22|2000-03-21|Micron Technology, Inc.|Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines| US5571577A|1995-04-07|1996-11-05|Board Of Trustees Operating Michigan State University|Method and apparatus for plasma treatment of a surface| JP3386287B2|1995-05-08|2003-03-17|堀池靖浩|プラズマエッチング装置| US20010028922A1|1995-06-07|2001-10-11|Sandhu Gurtej S.|High throughput ILD fill process for high aspect ratio gap fill| JP2814370B2|1995-06-18|1998-10-22|東京エレクトロン株式会社|プラズマ処理装置| US6197364B1|1995-08-22|2001-03-06|International Business Machines Corporation|Production of electroless Co with designed coercivity| US5755859A|1995-08-24|1998-05-26|International Business Machines Corporation|Cobalt-tin alloys and their applications for devices, chip interconnections and packaging| US6053982A|1995-09-01|2000-04-25|Asm America, Inc.|Wafer support system| US6228751B1|1995-09-08|2001-05-08|Semiconductor Energy Laboratory Co., Ltd.|Method of manufacturing a semiconductor device| US5719085A|1995-09-29|1998-02-17|Intel Corporation|Shallow trench isolation technique| US5716506A|1995-10-06|1998-02-10|Board Of Trustees Of The University Of Illinois|Electrochemical sensors for gas detection| US5635086A|1995-10-10|1997-06-03|The Esab Group, Inc.|Laser-plasma arc metal cutting apparatus| JPH09106899A|1995-10-11|1997-04-22|Anelva Corp|プラズマcvd装置及び方法並びにドライエッチング装置及び方法| US5910340A|1995-10-23|1999-06-08|C. Uyemura & Co., Ltd.|Electroless nickel plating solution and method| US6015724A|1995-11-02|2000-01-18|Semiconductor Energy Laboratory Co.|Manufacturing method of a semiconductor device| US5648125A|1995-11-16|1997-07-15|Cane; Frank N.|Electroless plating process for the manufacture of printed circuit boards| US5599740A|1995-11-16|1997-02-04|Taiwan Semiconductor Manufacturing Company, Ltd.|Deposit-etch-deposit ozone/teos insulator layer method| JP4420986B2|1995-11-21|2010-02-24|株式会社東芝|シャロウ・トレンチ分離半導体基板及びその製造方法| US5846598A|1995-11-30|1998-12-08|International Business Machines Corporation|Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating| JPH09153481A|1995-11-30|1997-06-10|Sumitomo Metal Ind Ltd|プラズマ処理装置| US5733816A|1995-12-13|1998-03-31|Micron Technology, Inc.|Method for depositing a tungsten layer on silicon| US6261637B1|1995-12-15|2001-07-17|Enthone-Omi, Inc.|Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication| US6065424A|1995-12-19|2000-05-23|Cornell Research Foundation, Inc.|Electroless deposition of metal films with spray processor| US5679606A|1995-12-27|1997-10-21|Taiwan Semiconductor Manufacturing Company, Ltd.|method of forming inter-metal-dielectric structure| WO1997024761A1|1995-12-27|1997-07-10|Lam Research Corporation|Methods and apparatus for filling trenches in a semiconductor wafer| US6191026B1|1996-01-09|2001-02-20|Applied Materials, Inc.|Method for submicron gap filling on a semiconductor substrate| US5824599A|1996-01-16|1998-10-20|Cornell Research Foundation, Inc.|Protected encapsulation of catalytic layer for electroless copper interconnect| US5674787A|1996-01-16|1997-10-07|Sematech, Inc.|Selective electroless copper deposited interconnect plugs for ULSI applications| US5891513A|1996-01-16|1999-04-06|Cornell Research Foundation|Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications| US5872052A|1996-02-12|1999-02-16|Micron Technology, Inc.|Planarization using plasma oxidized amorphous silicon| US5648175A|1996-02-14|1997-07-15|Applied Materials, Inc.|Chemical vapor deposition reactor system and integrated circuit| US6004884A|1996-02-15|1999-12-21|Lam Research Corporation|Methods and apparatus for etching semiconductor wafers| US5656093A|1996-03-08|1997-08-12|Applied Materials, Inc.|Wafer spacing mask for a substrate support chuck and method of fabricating same| AU2343397A|1996-03-25|1997-10-17|S. George Lesinski|Attaching an implantable hearing aid microactuator| US5858876A|1996-04-01|1999-01-12|Chartered Semiconductor Manufacturing, Ltd.|Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer| US5712185A|1996-04-23|1998-01-27|United Microelectronics|Method for forming shallow trench isolation| US6313035B1|1996-05-31|2001-11-06|Micron Technology, Inc.|Chemical vapor deposition using organometallic precursors| US6048798A|1996-06-05|2000-04-11|Lam Research Corporation|Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer| US5820723A|1996-06-05|1998-10-13|Lam Research Corporation|Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support| US5993916A|1996-07-12|1999-11-30|Applied Materials, Inc.|Method for substrate processing with improved throughput and yield| US5846332A|1996-07-12|1998-12-08|Applied Materials, Inc.|Thermally floating pedestal collar in a chemical vapor deposition chamber| US6170428B1|1996-07-15|2001-01-09|Applied Materials, Inc.|Symmetric tunable inductively coupled HDP-CVD reactor| US5781693A|1996-07-24|1998-07-14|Applied Materials, Inc.|Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween| US5661093A|1996-09-12|1997-08-26|Applied Materials, Inc.|Method for the stabilization of halogen-doped films through the use of multiple sealing layers| US5888906A|1996-09-16|1999-03-30|Micron Technology, Inc.|Plasmaless dry contact cleaning method using interhalogen compounds| US5747373A|1996-09-24|1998-05-05|Taiwan Semiconductor Manufacturing Company Ltd.|Nitride-oxide sidewall spacer for salicide formation| US5846375A|1996-09-26|1998-12-08|Micron Technology, Inc.|Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment| US5904827A|1996-10-15|1999-05-18|Reynolds Tech Fabricators, Inc.|Plating cell with rotary wiper and megasonic transducer| US5951776A|1996-10-25|1999-09-14|Applied Materials, Inc.|Self aligning lift mechanism| KR100237825B1|1996-11-05|2000-01-15|윤종용|반도체장치 제조설비의 페디스탈| US5804259A|1996-11-07|1998-09-08|Applied Materials, Inc.|Method and apparatus for depositing a multilayered low dielectric constant film| US5939831A|1996-11-13|1999-08-17|Applied Materials, Inc.|Methods and apparatus for pre-stabilized plasma generation for microwave clean applications| US5812403A|1996-11-13|1998-09-22|Applied Materials, Inc.|Methods and apparatus for cleaning surfaces in a substrate processing system| US5935334A|1996-11-13|1999-08-10|Applied Materials, Inc.|Substrate processing apparatus with bottom-mounted remote plasma system| US5882786A|1996-11-15|1999-03-16|C3, Inc.|Gemstones formed of silicon carbide with diamond coating| US5844195A|1996-11-18|1998-12-01|Applied Materials, Inc.|Remote plasma source| US6152070A|1996-11-18|2000-11-28|Applied Materials, Inc.|Tandem process chamber| US5830805A|1996-11-18|1998-11-03|Cornell Research Foundation|Electroless deposition equipment or apparatus and method of performing electroless deposition| US5855681A|1996-11-18|1999-01-05|Applied Materials, Inc.|Ultra high throughput wafer vacuum processing system| US5695810A|1996-11-20|1997-12-09|Cornell Research Foundation, Inc.|Use of cobalt tungsten phosphide as a barrier material for copper metallization| FR2756663B1|1996-12-04|1999-02-26|Berenguer Marc|Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface| US5843538A|1996-12-09|1998-12-01|John L. Raymond|Method for electroless nickel plating of metal substrates| US5953635A|1996-12-19|1999-09-14|Intel Corporation|Interlayer dielectric with a composite dielectric stack| US5913140A|1996-12-23|1999-06-15|Lam Research Corporation|Method for reduction of plasma charging damage during chemical vapor deposition| DE19700231C2|1997-01-07|2001-10-04|Geesthacht Gkss Forschung|Vorrichtung zum Filtern und Trennen von Strömungsmedien| US5913147A|1997-01-21|1999-06-15|Advanced Micro Devices, Inc.|Method for fabricating copper-aluminum metallization| JPH10223608A|1997-02-04|1998-08-21|Sony Corp|半導体装置の製造方法| US5800621A|1997-02-10|1998-09-01|Applied Materials, Inc.|Plasma source for HDP-CVD chamber| US6035101A|1997-02-12|2000-03-07|Applied Materials, Inc.|High temperature multi-layered alloy heater assembly and related methods| US6013584A|1997-02-19|2000-01-11|Applied Materials, Inc.|Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications| US6479373B2|1997-02-20|2002-11-12|Infineon Technologies Ag|Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases| US5990000A|1997-02-20|1999-11-23|Applied Materials, Inc.|Method and apparatus for improving gap-fill capability using chemical and physical etchbacks| US6190233B1|1997-02-20|2001-02-20|Applied Materials, Inc.|Method and apparatus for improving gap-fill capability using chemical and physical etchbacks| US6059643A|1997-02-21|2000-05-09|Aplex, Inc.|Apparatus and method for polishing a flat surface using a belted polishing pad| US5789300A|1997-02-25|1998-08-04|Advanced Micro Devices, Inc.|Method of making IGFETs in densely and sparsely populated areas of a substrate| US5850105A|1997-03-21|1998-12-15|Advanced Micro Devices, Inc.|Substantially planar semiconductor topography using dielectrics and chemical mechanical polish| US5786276A|1997-03-31|1998-07-28|Applied Materials, Inc.|Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2| US6030666A|1997-03-31|2000-02-29|Lam Research Corporation|Method for microwave plasma substrate heating| US5968610A|1997-04-02|1999-10-19|United Microelectronics Corp.|Multi-step high density plasma chemical vapor deposition process| JPH10284360A|1997-04-02|1998-10-23|Hitachi Ltd|基板温度制御装置及び方法| US6204200B1|1997-05-05|2001-03-20|Texas Instruments Incorporated|Process scheme to form controlled airgaps between interconnect lines to reduce capacitance| US6149828A|1997-05-05|2000-11-21|Micron Technology, Inc.|Supercritical etching compositions and method of using same| US5969422A|1997-05-15|1999-10-19|Advanced Micro Devices, Inc.|Plated copper interconnect structure| US6189483B1|1997-05-29|2001-02-20|Applied Materials, Inc.|Process kit| US5838055A|1997-05-29|1998-11-17|International Business Machines Corporation|Trench sidewall patterned by vapor phase etching| US6083344A|1997-05-29|2000-07-04|Applied Materials, Inc.|Multi-zone RF inductively coupled source configuration| US5937323A|1997-06-03|1999-08-10|Applied Materials, Inc.|Sequencing of the recipe steps for the optimal low-k HDP-CVD processing| US6136685A|1997-06-03|2000-10-24|Applied Materials, Inc.|High deposition rate recipe for low dielectric constant films| US6706334B1|1997-06-04|2004-03-16|Tokyo Electron Limited|Processing method and apparatus for removing oxide film| US5872058A|1997-06-17|1999-02-16|Novellus Systems, Inc.|High aspect ratio gapfill process by using HDP| US5885749A|1997-06-20|1999-03-23|Clear Logic, Inc.|Method of customizing integrated circuits by selective secondary deposition of layer interconnect material| US5933757A|1997-06-23|1999-08-03|Lsi Logic Corporation|Etch process selective to cobalt silicide for formation of integrated circuit structures| US6150628A|1997-06-26|2000-11-21|Applied Science And Technology, Inc.|Toroidal low-field reactive gas source| US6518155B1|1997-06-30|2003-02-11|Intel Corporation|Device structure and method for reducing silicide encroachment| US6602434B1|1998-03-27|2003-08-05|Applied Materials, Inc.|Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window| US6364957B1|1997-10-09|2002-04-02|Applied Materials, Inc.|Support assembly with thermal expansion compensation| JP3874911B2|1997-10-15|2007-01-31|株式会社Neomaxマテリアル|微小プラスチック球へのめっき方法| GB9722028D0|1997-10-17|1997-12-17|Shipley Company Ll C|Plating of polymers| US6379575B1|1997-10-21|2002-04-30|Applied Materials, Inc.|Treatment of etching chambers using activated cleaning gas| US6013191A|1997-10-27|2000-01-11|Advanced Refractory Technologies, Inc.|Method of polishing CVD diamond films by oxygen plasma| US6136693A|1997-10-27|2000-10-24|Chartered Semiconductor Manufacturing Ltd.|Method for planarized interconnect vias using electroless plating and CMP| WO1999026277A1|1997-11-17|1999-05-27|Mattson Technology, Inc.|Systems and methods for plasma enhanced processing of semiconductor wafers| US6063712A|1997-11-25|2000-05-16|Micron Technology, Inc.|Oxide etch and method of etching| US5849639A|1997-11-26|1998-12-15|Lucent Technologies Inc.|Method for removing etching residues and contaminants| US6077780A|1997-12-03|2000-06-20|Advanced Micro Devices, Inc.|Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure| US6143476A|1997-12-12|2000-11-07|Applied Materials Inc|Method for high temperature etching of patterned layers using an organic mask stack| US5976327A|1997-12-12|1999-11-02|Applied Materials, Inc.|Step coverage and overhang improvement by pedestal bias voltage modulation| US6083844A|1997-12-22|2000-07-04|Lam Research Corporation|Techniques for etching an oxide layer| US6406759B1|1998-01-08|2002-06-18|The University Of Tennessee Research Corporation|Remote exposure of workpieces using a recirculated plasma| JPH11204442A|1998-01-12|1999-07-30|Tokyo Electron Ltd|枚葉式の熱処理装置| US6140234A|1998-01-20|2000-10-31|International Business Machines Corporation|Method to selectively fill recesses with conductive metal| US6635578B1|1998-02-09|2003-10-21|Applied Materials, Inc|Method of operating a dual chamber reactor with neutral density decoupled from ion density| US5932077A|1998-02-09|1999-08-03|Reynolds Tech Fabricators, Inc.|Plating cell with horizontal product load mechanism| US6340435B1|1998-02-11|2002-01-22|Applied Materials, Inc.|Integrated low K dielectrics and etch stops| US6627532B1|1998-02-11|2003-09-30|Applied Materials, Inc.|Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition| US6054379A|1998-02-11|2000-04-25|Applied Materials, Inc.|Method of depositing a low k dielectric with organo silane| US6197688B1|1998-02-12|2001-03-06|Motorola Inc.|Interconnect structure in a semiconductor device and method of formation| US6171661B1|1998-02-25|2001-01-09|Applied Materials, Inc.|Deposition of copper with increased adhesion| US6551939B2|1998-03-17|2003-04-22|Anneal Corporation|Plasma surface treatment method and resulting device| US5920792A|1998-03-19|1999-07-06|Winbond Electronics Corp|High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers| US6194038B1|1998-03-20|2001-02-27|Applied Materials, Inc.|Method for deposition of a conformal layer on a substrate| US6565729B2|1998-03-20|2003-05-20|Semitool, Inc.|Method for electrochemically depositing metal on a semiconductor workpiece| US6197181B1|1998-03-20|2001-03-06|Semitool, Inc.|Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece| US6395150B1|1998-04-01|2002-05-28|Novellus Systems, Inc.|Very high aspect ratio gapfill using HDP| KR20010042419A|1998-04-02|2001-05-25|조셉 제이. 스위니|낮은 k 유전체를 에칭하는 방법| US6117245A|1998-04-08|2000-09-12|Applied Materials, Inc.|Method and apparatus for controlling cooling and heating fluids for a gas distribution plate| US6113771A|1998-04-21|2000-09-05|Applied Materials, Inc.|Electro deposition chemistry| US6416647B1|1998-04-21|2002-07-09|Applied Materials, Inc.|Electro-chemical deposition cell for face-up processing of single semiconductor substrates| US6179924B1|1998-04-28|2001-01-30|Applied Materials, Inc.|Heater for use in substrate processing apparatus to deposit tungsten| US6093594A|1998-04-29|2000-07-25|Advanced Micro Devices, Inc.|CMOS optimization method utilizing sacrificial sidewall spacer| US6030881A|1998-05-05|2000-02-29|Novellus Systems, Inc.|High throughput chemical vapor deposition process capable of filling high aspect ratio structures| DE69835276T2|1998-05-22|2007-07-12|Applied Materials, Inc., Santa Clara|Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation| KR100296137B1|1998-06-16|2001-08-07|박종섭|보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법| US6086677A|1998-06-16|2000-07-11|Applied Materials, Inc.|Dual gas faceplate for a showerhead in a semiconductor wafer processing system| JP2000012514A|1998-06-19|2000-01-14|Hitachi Ltd|後処理方法| US6147009A|1998-06-29|2000-11-14|International Business Machines Corporation|Hydrogenated oxidized silicon carbon material| US6562128B1|2001-11-28|2003-05-13|Seh America, Inc.|In-situ post epitaxial treatment process| JP2003517190A|1998-06-30|2003-05-20|セミトウール・インコーポレーテツド|ミクロ電子工学の適用のための金属被覆構造物及びその構造物の形成法| US6037018A|1998-07-01|2000-03-14|Taiwan Semiconductor Maufacturing Company|Shallow trench isolation filled by high density plasma chemical vapor deposition| US6248429B1|1998-07-06|2001-06-19|Micron Technology, Inc.|Metallized recess in a substrate| KR100265866B1|1998-07-11|2000-12-01|황철주|반도체 제조장치| US6063683A|1998-07-27|2000-05-16|Acer Semiconductor Manufacturing, Inc.|Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells| US6436816B1|1998-07-31|2002-08-20|Industrial Technology Research Institute|Method of electroless plating copper on nitride barrier| US6074954A|1998-08-31|2000-06-13|Applied Materials, Inc|Process for control of the shape of the etch front in the etching of polysilicon| US6383951B1|1998-09-03|2002-05-07|Micron Technology, Inc.|Low dielectric constant material for integrated circuit fabrication| US6440863B1|1998-09-04|2002-08-27|Taiwan Semiconductor Manufacturing Company|Plasma etch method for forming patterned oxygen containing plasma etchable layer| US6165912A|1998-09-17|2000-12-26|Cfmt, Inc.|Electroless metal deposition of electronic components in an enclosable vessel| US6037266A|1998-09-28|2000-03-14|Taiwan Semiconductor Manufacturing Company|Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher| US6180523B1|1998-10-13|2001-01-30|Industrial Technology Research Institute|Copper metallization of USLI by electroless process| US6228758B1|1998-10-14|2001-05-08|Advanced Micro Devices, Inc.|Method of making dual damascene conductive interconnections and integrated circuit device comprising same| US6251802B1|1998-10-19|2001-06-26|Micron Technology, Inc.|Methods of forming carbon-containing layers| US6107199A|1998-10-24|2000-08-22|International Business Machines Corporation|Method for improving the morphology of refractory metal thin films| JP3064268B2|1998-10-29|2000-07-12|アプライドマテリアルズインコーポレイテッド|成膜方法及び装置| US6176198B1|1998-11-02|2001-01-23|Applied Materials, Inc.|Apparatus and method for depositing low K dielectric materials| US6462371B1|1998-11-24|2002-10-08|Micron Technology Inc.|Films doped with carbon for use in integrated circuit technology| US6203863B1|1998-11-27|2001-03-20|United Microelectronics Corp.|Method of gap filling| US6228233B1|1998-11-30|2001-05-08|Applied Materials, Inc.|Inflatable compliant bladder assembly| US6251236B1|1998-11-30|2001-06-26|Applied Materials, Inc.|Cathode contact ring for electrochemical deposition| US6258220B1|1998-11-30|2001-07-10|Applied Materials, Inc.|Electro-chemical deposition system| US6015747A|1998-12-07|2000-01-18|Advanced Micro Device|Method of metal/polysilicon gate formation in a field effect transistor| US6242349B1|1998-12-09|2001-06-05|Advanced Micro Devices, Inc.|Method of forming copper/copper alloy interconnection with reduced electromigration| US6364954B2|1998-12-14|2002-04-02|Applied Materials, Inc.|High temperature chemical vapor deposition chamber| US20010012700A1|1998-12-15|2001-08-09|Klaus F. Schuegraf|Semiconductor processing methods of chemical vapor depositing sio2 on a substrate| EP1014434B1|1998-12-24|2008-03-26|ATMEL Germany GmbH|Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches| KR20000044928A|1998-12-30|2000-07-15|김영환|반도체 소자의 트랜치 형성 방법| TW428256B|1999-01-25|2001-04-01|United Microelectronics Corp|Structure of conducting-wire layer and its fabricating method| JP3330554B2|1999-01-27|2002-09-30|松下電器産業株式会社|エッチング方法| US6245669B1|1999-02-05|2001-06-12|Taiwan Semiconductor Manufacturing Company|High selectivity Si-rich SiON etch-stop layer| US6010962A|1999-02-12|2000-01-04|Taiwan Semiconductor Manufacturing Company|Copper chemical-mechanical-polishing dishing| US6245670B1|1999-02-19|2001-06-12|Advanced Micro Devices, Inc.|Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure| US6291282B1|1999-02-26|2001-09-18|Texas Instruments Incorporated|Method of forming dual metal gate structures or CMOS devices| US6136163A|1999-03-05|2000-10-24|Applied Materials, Inc.|Apparatus for electro-chemical deposition with thermal anneal chamber| US6312995B1|1999-03-08|2001-11-06|Advanced Micro Devices, Inc.|MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration| US6197705B1|1999-03-18|2001-03-06|Chartered Semiconductor Manufacturing Ltd.|Method of silicon oxide and silicon glass films deposition| US6797189B2|1999-03-25|2004-09-28|Hoiman Hung|Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon| US6238582B1|1999-03-30|2001-05-29|Veeco Instruments, Inc.|Reactive ion beam etching method and a thin film head fabricated using the method| US6144099A|1999-03-30|2000-11-07|Advanced Micro Devices, Inc.|Semiconductor metalization barrier| US6099697A|1999-04-13|2000-08-08|Applied Materials, Inc.|Method of and apparatus for restoring a support surface in a semiconductor wafer processing system| US6110836A|1999-04-22|2000-08-29|Applied Materials, Inc.|Reactive plasma etch cleaning of high aspect ratio openings| JP3099066B1|1999-05-07|2000-10-16|東京工業大学長|薄膜構造体の製造方法| US6323128B1|1999-05-26|2001-11-27|International Business Machines Corporation|Method for forming Co-W-P-Au films| US20020033233A1|1999-06-08|2002-03-21|Stephen E. Savas|Icp reactor having a conically-shaped plasma-generating section| US6174812B1|1999-06-08|2001-01-16|United Microelectronics Corp.|Copper damascene technology for ultra large scale integration circuits| US6821571B2|1999-06-18|2004-11-23|Applied Materials Inc.|Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers| US6110530A|1999-06-25|2000-08-29|Applied Materials, Inc.|CVD method of depositing copper films by using improved organocopper precursor blend| US6277752B1|1999-06-28|2001-08-21|Taiwan Semiconductor Manufacturing Company|Multiple etch method for forming residue free patterned hard mask layer| US6258223B1|1999-07-09|2001-07-10|Applied Materials, Inc.|In-situ electroless copper seed layer enhancement in an electroplating system| US6516815B1|1999-07-09|2003-02-11|Applied Materials, Inc.|Edge bead removal/spin rinse dry module| US6351013B1|1999-07-13|2002-02-26|Advanced Micro Devices, Inc.|Low-K sub spacer pocket formation for gate capacitance reduction| US6441492B1|1999-09-10|2002-08-27|James A. Cunningham|Diffusion barriers for copper interconnect systems| US6342733B1|1999-07-27|2002-01-29|International Business Machines Corporation|Reduced electromigration and stressed induced migration of Cu wires by surface coating| US6281135B1|1999-08-05|2001-08-28|Axcelis Technologies, Inc.|Oxygen free plasma stripping process| US6235643B1|1999-08-10|2001-05-22|Applied Materials, Inc.|Method for etching a trench having rounded top and bottom corners in a silicon substrate| CN100371491C|1999-08-17|2008-02-27|东京电子株式会社|脉冲等离子体处理方法及其设备| US6375748B1|1999-09-01|2002-04-23|Applied Materials, Inc.|Method and apparatus for preventing edge deposition| US6503843B1|1999-09-21|2003-01-07|Applied Materials, Inc.|Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill| US6432819B1|1999-09-27|2002-08-13|Applied Materials, Inc.|Method and apparatus of forming a sputtered doped seed layer| US6287643B1|1999-09-30|2001-09-11|Novellus Systems, Inc.|Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor| US6153935A|1999-09-30|2000-11-28|International Business Machines Corporation|Dual etch stop/diffusion barrier for damascene interconnects| US6364949B1|1999-10-19|2002-04-02|Applied Materials, Inc.|300 mm CVD chamber design for metal-organic thin film deposition| KR100338768B1|1999-10-25|2002-05-30|윤종용|산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치| US20010041444A1|1999-10-29|2001-11-15|Jeffrey A. Shields|Tin contact barc for tungsten polished contacts| US6551924B1|1999-11-02|2003-04-22|International Business Machines Corporation|Post metalization chem-mech polishing dielectric etch| EP1099776A1|1999-11-09|2001-05-16|Applied Materials, Inc.|Plasma cleaning step in a salicide process| TW484170B|1999-11-30|2002-04-21|Applied Materials Inc|Integrated modular processing platform| US6342453B1|1999-12-03|2002-01-29|Applied Materials, Inc.|Method for CVD process control for enhancing device performance| US6277763B1|1999-12-16|2001-08-21|Applied Materials, Inc.|Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen| AU2577001A|1999-12-22|2001-07-03|Tokyo Electron Limited|Method and system for reducing damage to substrates during plasma processing with a resonator source| US6238513B1|1999-12-28|2001-05-29|International Business Machines Corporation|Wafer lift assembly| KR20010058774A|1999-12-30|2001-07-06|박종섭|반도체 소자의 제조 방법| KR100767762B1|2000-01-18|2007-10-17|에이에스엠 저펜 가부시기가이샤|자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치| US6477980B1|2000-01-20|2002-11-12|Applied Materials, Inc.|Flexibly suspended gas distribution manifold for plasma chamber| US6772827B2|2000-01-20|2004-08-10|Applied Materials, Inc.|Suspended gas distribution manifold for plasma chamber| US6656831B1|2000-01-26|2003-12-02|Applied Materials, Inc.|Plasma-enhanced chemical vapor deposition of a metal nitride layer| US6494959B1|2000-01-28|2002-12-17|Applied Materials, Inc.|Process and apparatus for cleaning a silicon surface| JP3723712B2|2000-02-10|2005-12-07|株式会社日立国際電気|基板処理装置及び基板処理方法| US6743473B1|2000-02-16|2004-06-01|Applied Materials, Inc.|Chemical vapor deposition of barriers from novel precursors| US6573030B1|2000-02-17|2003-06-03|Applied Materials, Inc.|Method for depositing an amorphous carbon layer| US6319766B1|2000-02-22|2001-11-20|Applied Materials, Inc.|Method of tantalum nitride deposition by tantalum oxide densification| US6350320B1|2000-02-22|2002-02-26|Applied Materials, Inc.|Heater for processing chamber| US6391788B1|2000-02-25|2002-05-21|Applied Materials, Inc.|Two etchant etch method| JP3979791B2|2000-03-08|2007-09-19|株式会社ルネサステクノロジ|半導体装置およびその製造方法| KR100350056B1|2000-03-09|2002-08-24|삼성전자 주식회사|다마신 게이트 공정에서 자기정렬콘택패드 형성 방법| US6527968B1|2000-03-27|2003-03-04|Applied Materials Inc.|Two-stage self-cleaning silicon etch process| JP2001355074A|2000-04-10|2001-12-25|Sony Corp|無電解メッキ処理方法およびその装置| US7892974B2|2000-04-11|2011-02-22|Cree, Inc.|Method of forming vias in silicon carbide and resulting devices and circuits| JP2001308023A|2000-04-21|2001-11-02|Tokyo Electron Ltd|熱処理装置及び方法| US6458718B1|2000-04-28|2002-10-01|Asm Japan K.K.|Fluorine-containing materials and processes| US6387207B1|2000-04-28|2002-05-14|Applied Materials, Inc.|Integration of remote plasma generator with semiconductor processing chamber| US6679981B1|2000-05-11|2004-01-20|Applied Materials, Inc.|Inductive plasma loop enhancing magnetron sputtering| US6335261B1|2000-05-31|2002-01-01|International Business Machines Corporation|Directional CVD process with optimized etchback| US6729081B2|2000-06-09|2004-05-04|United Solar Systems Corporation|Self-adhesive photovoltaic module| US6603269B1|2000-06-13|2003-08-05|Applied Materials, Inc.|Resonant chamber applicator for remote plasma source| US6645550B1|2000-06-22|2003-11-11|Applied Materials, Inc.|Method of treating a substrate| US6620723B1|2000-06-27|2003-09-16|Applied Materials, Inc.|Formation of boride barrier layers using chemisorption techniques| US6303418B1|2000-06-30|2001-10-16|Chartered Semiconductor Manufacturing Ltd.|Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer| US6794311B2|2000-07-14|2004-09-21|Applied Materials Inc.|Method and apparatus for treating low k dielectric layers to reduce diffusion| KR100366623B1|2000-07-18|2003-01-09|삼성전자 주식회사|반도체 기판 또는 lcd 기판의 세정방법| US6764958B1|2000-07-28|2004-07-20|Applied Materials Inc.|Method of depositing dielectric films| US6677242B1|2000-08-12|2004-01-13|Applied Materials Inc.|Integrated shallow trench isolation approach| US6800830B2|2000-08-18|2004-10-05|Hitachi Kokusai Electric, Inc.|Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication| US6446572B1|2000-08-18|2002-09-10|Tokyo Electron Limited|Embedded plasma source for plasma density improvement| US6335288B1|2000-08-24|2002-01-01|Applied Materials, Inc.|Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD| US6372657B1|2000-08-31|2002-04-16|Micron Technology, Inc.|Method for selective etching of oxides| US6465366B1|2000-09-12|2002-10-15|Applied Materials, Inc.|Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers| JP2002100578A|2000-09-25|2002-04-05|Crystage Co Ltd|薄膜形成装置| KR100375102B1|2000-10-18|2003-03-08|삼성전자주식회사|반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치| US6403491B1|2000-11-01|2002-06-11|Applied Materials, Inc.|Etch method using a dielectric etch chamber with expanded process window| US6610362B1|2000-11-20|2003-08-26|Intel Corporation|Method of forming a carbon doped oxide layer on a substrate| KR100382725B1|2000-11-24|2003-05-09|삼성전자주식회사|클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법| AUPR179500A0|2000-11-30|2000-12-21|Saintech Pty Limited|Ion source| US6291348B1|2000-11-30|2001-09-18|Advanced Micro Devices, Inc.|Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed| US6544340B2|2000-12-08|2003-04-08|Applied Materials, Inc.|Heater with detachable ceramic top plate| US6448537B1|2000-12-11|2002-09-10|Eric Anton Nering|Single-wafer process chamber thermal convection processes| US20020124867A1|2001-01-08|2002-09-12|Apl Co., Ltd.|Apparatus and method for surface cleaning using plasma| US6879981B2|2001-01-16|2005-04-12|Corigin Ltd.|Sharing live data with a non cooperative DBMS| US6743732B1|2001-01-26|2004-06-01|Taiwan Semiconductor Manufacturing Company|Organic low K dielectric etch with NH3 chemistry| JP2002222934A|2001-01-29|2002-08-09|Nec Corp|半導体装置およびその製造方法| US6893969B2|2001-02-12|2005-05-17|Lam Research Corporation|Use of ammonia for etching organic low-k dielectrics| US6537733B2|2001-02-23|2003-03-25|Applied Materials, Inc.|Method of depositing low dielectric constant silicon carbide layers| JP2002256235A|2001-03-01|2002-09-11|Hitachi Chem Co Ltd|接着シート、半導体装置の製造方法および半導体装置| US6886491B2|2001-03-19|2005-05-03|Apex Co. Ltd.|Plasma chemical vapor deposition apparatus| JP5013353B2|2001-03-28|2012-08-29|隆 杉野|成膜方法及び成膜装置| US7084070B1|2001-03-30|2006-08-01|Lam Research Corporation|Treatment for corrosion in substrate processing| US20020177321A1|2001-03-30|2002-11-28|Li Si Yi|Plasma etching of silicon carbide| US6670278B2|2001-03-30|2003-12-30|Lam Research Corporation|Method of plasma etching of silicon carbide| JP3707394B2|2001-04-06|2005-10-19|ソニー株式会社|無電解メッキ方法| US20030019428A1|2001-04-28|2003-01-30|Applied Materials, Inc.|Chemical vapor deposition chamber| US6740601B2|2001-05-11|2004-05-25|Applied Materials Inc.|HDP-CVD deposition process for filling high aspect ratio gaps| DE10222083B4|2001-05-18|2010-09-23|Samsung Electronics Co., Ltd., Suwon|Isolationsverfahren für eine Halbleitervorrichtung| JP4720019B2|2001-05-18|2011-07-13|東京エレクトロン株式会社|冷却機構及び処理装置| US6717189B2|2001-06-01|2004-04-06|Ebara Corporation|Electroless plating liquid and semiconductor device| WO2002103782A2|2001-06-14|2002-12-27|Mattson Technology, Inc.|Barrier enhancement process for copper interconnects| US6506291B2|2001-06-14|2003-01-14|Applied Materials, Inc.|Substrate support with multilevel heat transfer mechanism| US6573606B2|2001-06-14|2003-06-03|International Business Machines Corporation|Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect| JP2003019433A|2001-07-06|2003-01-21|Sekisui Chem Co Ltd|放電プラズマ処理装置及びそれを用いた処理方法| KR100403630B1|2001-07-07|2003-10-30|삼성전자주식회사|고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법| US6531377B2|2001-07-13|2003-03-11|Infineon Technologies Ag|Method for high aspect ratio gap fill using sequential HDP-CVD| US6878206B2|2001-07-16|2005-04-12|Applied Materials, Inc.|Lid assembly for a processing system to facilitate sequential deposition techniques| US20030029715A1|2001-07-25|2003-02-13|Applied Materials, Inc.|An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems| US6846745B1|2001-08-03|2005-01-25|Novellus Systems, Inc.|High-density plasma process for filling high aspect ratio structures| JP3914452B2|2001-08-07|2007-05-16|株式会社ルネサステクノロジ|半導体集積回路装置の製造方法| TW554069B|2001-08-10|2003-09-21|Ebara Corp|Plating device and method| KR20040018558A|2001-08-13|2004-03-03|가부시키 가이샤 에바라 세이사꾸쇼|반도체장치와 그 제조방법 및 도금액| US20030038305A1|2001-08-21|2003-02-27|Wasshuber Christoph A.|Method for manufacturing and structure of transistor with low-k spacer| JP2003059914A|2001-08-21|2003-02-28|Hitachi Kokusai Electric Inc|プラズマ処理装置| US6753506B2|2001-08-23|2004-06-22|Axcelis Technologies|System and method of fast ambient switching for rapid thermal processing| US6762127B2|2001-08-23|2004-07-13|Yves Pierre Boiteux|Etch process for dielectric materials comprising oxidized organo silane materials| US6596654B1|2001-08-24|2003-07-22|Novellus Systems, Inc.|Gap fill for high aspect ratio structures| WO2003018867A1|2001-08-29|2003-03-06|Applied Materials, Inc.|Semiconductor processing using an efficiently coupled gas source| US6796314B1|2001-09-07|2004-09-28|Novellus Systems, Inc.|Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process| US20030054608A1|2001-09-17|2003-03-20|Vanguard International Semiconductor Corporation|Method for forming shallow trench isolation in semiconductor device| US6656837B2|2001-10-11|2003-12-02|Applied Materials, Inc.|Method of eliminating photoresist poisoning in damascene applications| EP1302988A3|2001-10-12|2007-01-24|Bayer MaterialScience AG|Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung| US20030072639A1|2001-10-17|2003-04-17|Applied Materials, Inc.|Substrate support| JP3759895B2|2001-10-24|2006-03-29|松下電器産業株式会社|エッチング方法| US6916398B2|2001-10-26|2005-07-12|Applied Materials, Inc.|Gas delivery apparatus and method for atomic layer deposition| US7780785B2|2001-10-26|2010-08-24|Applied Materials, Inc.|Gas delivery apparatus for atomic layer deposition| KR100443121B1|2001-11-29|2004-08-04|삼성전자주식회사|반도체 공정의 수행 방법 및 반도체 공정 장치| US6794290B1|2001-12-03|2004-09-21|Novellus Systems, Inc.|Method of chemical modification of structure topography| US6905968B2|2001-12-12|2005-06-14|Applied Materials, Inc.|Process for selectively etching dielectric layers| WO2003052808A2|2001-12-13|2003-06-26|Applied Materials, Inc.|Self-aligned contact etch with high sensitivity to nitride shoulder| US6890850B2|2001-12-14|2005-05-10|Applied Materials, Inc.|Method of depositing dielectric materials in damascene applications| US6605874B2|2001-12-19|2003-08-12|Intel Corporation|Method of making semiconductor device using an interconnect| US20030116087A1|2001-12-21|2003-06-26|Nguyen Anh N.|Chamber hardware design for titanium nitride atomic layer deposition| US20030116439A1|2001-12-21|2003-06-26|International Business Machines Corporation|Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices| KR100484258B1|2001-12-27|2005-04-22|주식회사 하이닉스반도체|반도체 소자 제조 방법| US20030124842A1|2001-12-27|2003-07-03|Applied Materials, Inc.|Dual-gas delivery system for chemical vapor deposition processes| US6677247B2|2002-01-07|2004-01-13|Applied Materials Inc.|Method of increasing the etch selectivity of a contact sidewall to a preclean etchant| US6827815B2|2002-01-15|2004-12-07|Applied Materials, Inc.|Showerhead assembly for a processing chamber| JP2003217898A|2002-01-16|2003-07-31|Sekisui Chem Co Ltd|放電プラズマ処理装置| US6869880B2|2002-01-24|2005-03-22|Applied Materials, Inc.|In situ application of etch back for improved deposition into high-aspect-ratio features| US6866746B2|2002-01-26|2005-03-15|Applied Materials, Inc.|Clamshell and small volume chamber with fixed substrate support| US7138014B2|2002-01-28|2006-11-21|Applied Materials, Inc.|Electroless deposition apparatus| US6632325B2|2002-02-07|2003-10-14|Applied Materials, Inc.|Article for use in a semiconductor processing chamber and method of fabricating same| US6541671B1|2002-02-13|2003-04-01|The Regents Of The University Of California|Synthesis of 2H- and 13C-substituted dithanes| US7256370B2|2002-03-15|2007-08-14|Steed Technology, Inc.|Vacuum thermal annealer| US6913651B2|2002-03-22|2005-07-05|Blue29, Llc|Apparatus and method for electroless deposition of materials on semiconductor substrates| US6541397B1|2002-03-29|2003-04-01|Applied Materials, Inc.|Removable amorphous carbon CMP stop| US6843858B2|2002-04-02|2005-01-18|Applied Materials, Inc.|Method of cleaning a semiconductor processing chamber| US20030190426A1|2002-04-03|2003-10-09|Deenesh Padhi|Electroless deposition method| US6921556B2|2002-04-12|2005-07-26|Asm Japan K.K.|Method of film deposition using single-wafer-processing type CVD| US6616967B1|2002-04-15|2003-09-09|Texas Instruments Incorporated|Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process| US7013834B2|2002-04-19|2006-03-21|Nordson Corporation|Plasma treatment system| KR100448714B1|2002-04-24|2004-09-13|삼성전자주식회사|다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법| US6528409B1|2002-04-29|2003-03-04|Advanced Micro Devices, Inc.|Interconnect structure formed in porous dielectric material with minimized degradation and electromigration| US6908862B2|2002-05-03|2005-06-21|Applied Materials, Inc.|HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features| JP2003347278A|2002-05-23|2003-12-05|Hitachi Kokusai Electric Inc|基板処理装置、及び半導体装置の製造方法| US6500728B1|2002-05-24|2002-12-31|Taiwan Semiconductor Manufacturing Company|Shallow trench isolation module to improve contact etch process window| US20030224217A1|2002-05-31|2003-12-04|Applied Materials, Inc.|Metal nitride formation| KR100434110B1|2002-06-04|2004-06-04|삼성전자주식회사|반도체 장치의 제조방법| US6924191B2|2002-06-20|2005-08-02|Applied Materials, Inc.|Method for fabricating a gate structure of a field effect transistor| US20040072446A1|2002-07-02|2004-04-15|Applied Materials, Inc.|Method for fabricating an ultra shallow junction of a field effect transistor| US6767844B2|2002-07-03|2004-07-27|Taiwan Semiconductor Manufacturing Co., Ltd|Plasma chamber equipped with temperature-controlled focus ring and method of operating| US20040033677A1|2002-08-14|2004-02-19|Reza Arghavani|Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier| US20070111519A1|2003-10-15|2007-05-17|Applied Materials, Inc.|Integrated electroless deposition system| US7223701B2|2002-09-06|2007-05-29|Intel Corporation|In-situ sequential high density plasma deposition and etch processing for gap fill| US6991959B2|2002-10-10|2006-01-31|Asm Japan K.K.|Method of manufacturing silicon carbide film| KR100500852B1|2002-10-10|2005-07-12|최대규|원격 플라즈마 발생기| JP4606713B2|2002-10-17|2011-01-05|ルネサスエレクトロニクス株式会社|半導体装置およびその製造方法| US6699380B1|2002-10-18|2004-03-02|Applied Materials Inc.|Modular electrochemical processing system| US7628897B2|2002-10-23|2009-12-08|Applied Materials, Inc.|Reactive ion etching for semiconductor device feature topography modification| US6802944B2|2002-10-23|2004-10-12|Applied Materials, Inc.|High density plasma CVD process for gapfill into high aspect ratio features| US6713873B1|2002-11-27|2004-03-30|Intel Corporation|Adhesion between dielectric materials| KR100898580B1|2002-12-07|2009-05-20|주식회사 하이닉스반도체|반도체 소자의 소자분리막 형성방법| US6858532B2|2002-12-10|2005-02-22|International Business Machines Corporation|Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling| JP3838969B2|2002-12-17|2006-10-25|沖電気工業株式会社|ドライエッチング方法| US6720213B1|2003-01-15|2004-04-13|International Business Machines Corporation|Low-K gate spacers by fluorine implantation| US6808748B2|2003-01-23|2004-10-26|Applied Materials, Inc.|Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology| CN101457338B|2003-02-14|2011-04-27|应用材料股份有限公司|利用含氢自由基清洁自生氧化物的方法和设备| US6913992B2|2003-03-07|2005-07-05|Applied Materials, Inc.|Method of modifying interlayer adhesion| US20040182315A1|2003-03-17|2004-09-23|Tokyo Electron Limited|Reduced maintenance chemical oxide removal processing system| US6951821B2|2003-03-17|2005-10-04|Tokyo Electron Limited|Processing system and method for chemically treating a substrate| US7196342B2|2004-03-10|2007-03-27|Cymer, Inc.|Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source| US7126225B2|2003-04-15|2006-10-24|Taiwan Semiconductor Manufacturing Company, Ltd.|Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling| US6942753B2|2003-04-16|2005-09-13|Applied Materials, Inc.|Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition| US20040211357A1|2003-04-24|2004-10-28|Gadgil Pradad N.|Method of manufacturing a gap-filled structure of a semiconductor device| US6830624B2|2003-05-02|2004-12-14|Applied Materials, Inc.|Blocker plate by-pass for remote plasma clean| US6903511B2|2003-05-06|2005-06-07|Zond, Inc.|Generation of uniformly-distributed plasma| KR20040096365A|2003-05-09|2004-11-16|주식회사 하이닉스반도체|반도체소자의 제조방법| US7081414B2|2003-05-23|2006-07-25|Applied Materials, Inc.|Deposition-selective etch-deposition process for dielectric film gapfill| US7205240B2|2003-06-04|2007-04-17|Applied Materials, Inc.|HDP-CVD multistep gapfill process| US7151277B2|2003-07-03|2006-12-19|The Regents Of The University Of California|Selective etching of silicon carbide films| JP2005033023A|2003-07-07|2005-02-03|Sony Corp|半導体装置の製造方法および半導体装置| JP4245996B2|2003-07-07|2009-04-02|株式会社荏原製作所|無電解めっきによるキャップ膜の形成方法およびこれに用いる装置| US7368392B2|2003-07-10|2008-05-06|Applied Materials, Inc.|Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode| JP3866694B2|2003-07-30|2007-01-10|株式会社日立ハイテクノロジーズ|Lsiデバイスのエッチング方法および装置| US7256134B2|2003-08-01|2007-08-14|Applied Materials, Inc.|Selective etching of carbon-doped low-k dielectrics| US20050035455A1|2003-08-14|2005-02-17|Chenming Hu|Device with low-k dielectric in close proximity thereto and its method of fabrication| US7078312B1|2003-09-02|2006-07-18|Novellus Systems, Inc.|Method for controlling etch process repeatability| US6903031B2|2003-09-03|2005-06-07|Applied Materials, Inc.|In-situ-etch-assisted HDP deposition using SiF4 and hydrogen| US7030034B2|2003-09-18|2006-04-18|Micron Technology, Inc.|Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum| US6967405B1|2003-09-24|2005-11-22|Yongsik Yu|Film for copper diffusion barrier| US7371688B2|2003-09-30|2008-05-13|Air Products And Chemicals, Inc.|Removal of transition metal ternary and/or quaternary barrier materials from a substrate| WO2005036615A2|2003-10-06|2005-04-21|Applied Materials, Inc.|Apparatus to improve wafer temperature uniformity for face-up wet processing| US7581511B2|2003-10-10|2009-09-01|Micron Technology, Inc.|Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes| US20060033678A1|2004-01-26|2006-02-16|Applied Materials, Inc.|Integrated electroless deposition system| US7465358B2|2003-10-15|2008-12-16|Applied Materials, Inc.|Measurement techniques for controlling aspects of a electroless deposition process| JP2005129688A|2003-10-23|2005-05-19|Hitachi Ltd|半導体装置の製造方法| KR100561848B1|2003-11-04|2006-03-16|삼성전자주식회사|헬리컬 공진기형 플라즈마 처리 장치| US7709392B2|2003-11-05|2010-05-04|Taiwan Semiconductor Manufacturing Co., Ltd.|Low K dielectric surface damage control| KR100550808B1|2003-11-17|2006-02-09|주식회사 에스테크|전자파 차폐 성능이 우수한 다층 구조의 시트 및 그 제조방법| US20050109276A1|2003-11-25|2005-05-26|Applied Materials, Inc.|Thermal chemical vapor deposition of silicon nitride using BTBAS bis in a single wafer chamber| US7202172B2|2003-12-05|2007-04-10|Taiwan Semiconductor Manufacturing Company, Ltd.|Microelectronic device having disposable spacer| US7081407B2|2003-12-16|2006-07-25|Lam Research Corporation|Method of preventing damage to porous low-k materials during resist stripping| US6958286B2|2004-01-02|2005-10-25|International Business Machines Corporation|Method of preventing surface roughening during hydrogen prebake of SiGe substrates| US6893967B1|2004-01-13|2005-05-17|Advanced Micro Devices, Inc.|L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials| US7291550B2|2004-02-13|2007-11-06|Chartered Semiconductor Manufacturing Ltd.|Method to form a contact hole| JP4698251B2|2004-02-24|2011-06-08|アプライドマテリアルズインコーポレイテッド|可動又は柔軟なシャワーヘッド取り付け| US7780793B2|2004-02-26|2010-08-24|Applied Materials, Inc.|Passivation layer formation by plasma clean process to reduce native oxide growth| US20050230350A1|2004-02-26|2005-10-20|Applied Materials, Inc.|In-situ dry clean chamber for front end of line fabrication| WO2006069085A2|2004-12-21|2006-06-29|Applied Materials, Inc.|An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber| US20070123051A1|2004-02-26|2007-05-31|Reza Arghavani|Oxide etch with nh4-nf3 chemistry| US20060051966A1|2004-02-26|2006-03-09|Applied Materials, Inc.|In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber| US7407893B2|2004-03-05|2008-08-05|Applied Materials, Inc.|Liquid precursors for the CVD deposition of amorphous carbon films| US7109521B2|2004-03-18|2006-09-19|Cree, Inc.|Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls| US7785672B2|2004-04-20|2010-08-31|Applied Materials, Inc.|Method of controlling the film properties of PECVD-deposited thin films| US8074599B2|2004-05-12|2011-12-13|Applied Materials, Inc.|Plasma uniformity control by gas diffuser curvature| US7115974B2|2004-04-27|2006-10-03|Taiwan Semiconductor Manfacturing Company, Ltd.|Silicon oxycarbide and silicon carbonitride based materials for MOS devices| CN101124661A|2004-05-11|2008-02-13|应用材料公司|碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻| US8328939B2|2004-05-12|2012-12-11|Applied Materials, Inc.|Diffuser plate with slit valve compensation| US8083853B2|2004-05-12|2011-12-27|Applied Materials, Inc.|Plasma uniformity control by gas diffuser hole design| WO2005114749A1|2004-05-21|2005-12-01|Semiconductor Energy Laboratory Co., Ltd.|Semiconductor device and manufacturing method thereof| US7049200B2|2004-05-25|2006-05-23|Applied Materials Inc.|Method for forming a low thermal budget spacer| US7122949B2|2004-06-21|2006-10-17|Neocera, Inc.|Cylindrical electron beam generating/triggering device and method for generation of electrons| US8349128B2|2004-06-30|2013-01-08|Applied Materials, Inc.|Method and apparatus for stable plasma processing| US20060000802A1|2004-06-30|2006-01-05|Ajay Kumar|Method and apparatus for photomask plasma etching| JP2006049817A|2004-07-07|2006-02-16|Showa Denko Kk|プラズマ処理方法およびプラズマエッチング方法| US7217626B2|2004-07-26|2007-05-15|Texas Instruments Incorporated|Transistor fabrication methods using dual sidewall spacers| US7192863B2|2004-07-30|2007-03-20|Texas Instruments Incorporated|Method of eliminating etch ridges in a dual damascene process| US20060024954A1|2004-08-02|2006-02-02|Zhen-Cheng Wu|Copper damascene barrier and capping layer| US7335609B2|2004-08-27|2008-02-26|Applied Materials, Inc.|Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials| US7390710B2|2004-09-02|2008-06-24|Micron Technology, Inc.|Protection of tunnel dielectric using epitaxial silicon| US7115525B2|2004-09-02|2006-10-03|Micron Technology, Inc.|Method for integrated circuit fabrication using pitch multiplication| US7329576B2|2004-09-02|2008-02-12|Micron Technology, Inc.|Double-sided container capacitors using a sacrificial layer| US7148155B1|2004-10-26|2006-12-12|Novellus Systems, Inc.|Sequential deposition/anneal film densification method| US20060093756A1|2004-11-03|2006-05-04|Nagarajan Rajagopalan|High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films| US7618515B2|2004-11-15|2009-11-17|Tokyo Electron Limited|Focus ring, plasma etching apparatus and plasma etching method| US20060130971A1|2004-12-21|2006-06-22|Applied Materials, Inc.|Apparatus for generating plasma by RF power| US20060148243A1|2004-12-30|2006-07-06|Jeng-Ho Wang|Method for fabricating a dual damascene and polymer removal| US7253123B2|2005-01-10|2007-08-07|Applied Materials, Inc.|Method for producing gate stack sidewall spacers| US7829243B2|2005-01-27|2010-11-09|Applied Materials, Inc.|Method for plasma etching a chromium layer suitable for photomask fabrication| JP4475136B2|2005-02-18|2010-06-09|東京エレクトロン株式会社|処理システム、前処理装置及び記憶媒体| JP4506677B2|2005-03-11|2010-07-21|東京エレクトロン株式会社|成膜方法、成膜装置及び記憶媒体| US7253118B2|2005-03-15|2007-08-07|Micron Technology, Inc.|Pitch reduced patterns relative to photolithography features| KR100681390B1|2005-03-18|2007-02-09|한빛레이저|레이저빔의 초점위치를 임의의 3차원으로 고속이동 시킬 수 있는 광집속장치와 광편향장치를 이용한 반도체웨이퍼의 레이저 다이싱 및 스크라이빙 방법| TW200707640A|2005-03-18|2007-02-16|Applied Materials Inc|Contact metallization scheme using a barrier layer over a silicide layer| TW200734482A|2005-03-18|2007-09-16|Applied Materials Inc|Electroless deposition process on a contact containing silicon or silicide| US7442274B2|2005-03-28|2008-10-28|Tokyo Electron Limited|Plasma etching method and apparatus therefor| US7611944B2|2005-03-28|2009-11-03|Micron Technology, Inc.|Integrated circuit fabrication| KR100689826B1|2005-03-29|2007-03-08|삼성전자주식회사|불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들| US7288482B2|2005-05-04|2007-10-30|International Business Machines Corporation|Silicon nitride etching methods| KR100745067B1|2005-05-18|2007-08-01|주식회사 하이닉스반도체|반도체 소자의 트렌치 소자분리막 및 그 형성방법| US20070071888A1|2005-09-21|2007-03-29|Arulkumar Shanmugasundram|Method and apparatus for forming device features in an integrated electroless deposition system| KR100703014B1|2005-10-26|2007-04-06|삼성전자주식회사|실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법| EP1780779A3|2005-10-28|2008-06-11|Interuniversitair Microelektronica Centrum |A plasma for patterning advanced gate stacks| US20070099806A1|2005-10-28|2007-05-03|Stewart Michael P|Composition and method for selectively removing native oxide from silicon-containing surfaces| US7884032B2|2005-10-28|2011-02-08|Applied Materials, Inc.|Thin film deposition| US7696101B2|2005-11-01|2010-04-13|Micron Technology, Inc.|Process for increasing feature density during the manufacture of a semiconductor device| US20070107750A1|2005-11-14|2007-05-17|Sawin Herbert H|Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers| US20070117396A1|2005-11-22|2007-05-24|Dingjun Wu|Selective etching of titanium nitride with xenon difluoride| US7405160B2|2005-12-13|2008-07-29|Tokyo Electron Limited|Method of making semiconductor device| JP2007173383A|2005-12-20|2007-07-05|Sharp Corp|トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法| US7582555B1|2005-12-29|2009-09-01|Novellus Systems, Inc.|CVD flowable gap fill| US7494545B2|2006-02-03|2009-02-24|Applied Materials, Inc.|Epitaxial deposition process and apparatus| US7780865B2|2006-03-31|2010-08-24|Applied Materials, Inc.|Method to improve the step coverage and pattern loading for dielectric films| JP5042517B2|2006-04-10|2012-10-03|ルネサスエレクトロニクス株式会社|半導体装置の製造方法| JP2007311540A|2006-05-18|2007-11-29|Renesas Technology Corp|半導体装置の製造方法| US20070281106A1|2006-05-30|2007-12-06|Applied Materials, Inc.|Process chamber for dielectric gapfill| US20080124937A1|2006-08-16|2008-05-29|Songlin Xu|Selective etching method and apparatus| KR100818708B1|2006-08-18|2008-04-01|주식회사 하이닉스반도체|표면 세정을 포함하는 반도체소자 제조방법| US8110787B1|2006-08-23|2012-02-07|ON Semiconductor Trading, Ltd|Image sensor with a reflective waveguide| CN101153396B|2006-09-30|2010-06-09|中芯国际集成电路制造有限公司|等离子刻蚀方法| JP2008103645A|2006-10-20|2008-05-01|Toshiba Corp|半導体装置の製造方法| US7943005B2|2006-10-30|2011-05-17|Applied Materials, Inc.|Method and apparatus for photomask plasma etching| US7700479B2|2006-11-06|2010-04-20|Taiwan Semiconductor Manufacturing Company, Ltd.|Cleaning processes in the formation of integrated circuit interconnect structures| US7939422B2|2006-12-07|2011-05-10|Applied Materials, Inc.|Methods of thin film process| US20080142483A1|2006-12-07|2008-06-19|Applied Materials, Inc.|Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills| TWM318795U|2006-12-18|2007-09-11|Lighthouse Technology Co Ltd|Package structure| US20100059889A1|2006-12-20|2010-03-11|Nxp, B.V.|Adhesion of diffusion barrier on copper-containing interconnect element| US7808053B2|2006-12-29|2010-10-05|Intel Corporation|Method, apparatus, and system for flash memory| KR20080063988A|2007-01-03|2008-07-08|삼성전자주식회사|중성빔을 이용한 식각장치| KR100853485B1|2007-03-19|2008-08-21|주식회사 하이닉스반도체|리세스 게이트를 갖는 반도체 소자의 제조 방법| US20080233709A1|2007-03-22|2008-09-25|Infineon Technologies North America Corp.|Method for removing material from a semiconductor| US7815814B2|2007-03-23|2010-10-19|Tokyo Electron Limited|Method and system for dry etching a metal nitride| JP5135879B2|2007-05-21|2013-02-06|富士電機株式会社|炭化珪素半導体装置の製造方法| US8084105B2|2007-05-23|2011-12-27|Applied Materials, Inc.|Method of depositing boron nitride and boron nitride-derived materials| US7807578B2|2007-06-01|2010-10-05|Applied Materials, Inc.|Frequency doubling using spacer mask| KR100877107B1|2007-06-28|2009-01-07|주식회사 하이닉스반도체|반도체 소자의 층간절연막 형성방법| KR101050454B1|2007-07-02|2011-07-19|주식회사 하이닉스반도체|반도체 소자의 소자 분리막 및 그 형성방법| US8021514B2|2007-07-11|2011-09-20|Applied Materials, Inc.|Remote plasma source for pre-treatment of substrates prior to deposition| US8008166B2|2007-07-26|2011-08-30|Applied Materials, Inc.|Method and apparatus for cleaning a substrate surface| US8252696B2|2007-10-22|2012-08-28|Applied Materials, Inc.|Selective etching of silicon nitride| US7871926B2|2007-10-22|2011-01-18|Applied Materials, Inc.|Methods and systems for forming at least one dielectric layer| EP2058836A1|2007-11-07|2009-05-13|Applied Materials, Inc.|Sputter coating device and coating method| CN101999022A|2007-12-04|2011-03-30|帕勒拜尔股份公司|多层的太阳能元件| US8187486B1|2007-12-13|2012-05-29|Novellus Systems, Inc.|Modulating etch selectivity and etch rate of silicon nitride thin films| JP2009170890A|2007-12-18|2009-07-30|Takashima & Co Ltd|可撓性膜状太陽電池複層体| TW200933812A|2008-01-30|2009-08-01|Promos Technologies Inc|Process for forming trench isolation structure and semiconductor device produced thereby| US8252194B2|2008-05-02|2012-08-28|Micron Technology, Inc.|Methods of removing silicon oxide| US20090275206A1|2008-05-05|2009-11-05|Applied Materials, Inc.|Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias| US8357435B2|2008-05-09|2013-01-22|Applied Materials, Inc.|Flowable dielectric equipment and processes| KR20100013980A|2008-08-01|2010-02-10|주식회사 하이닉스반도체|반도체 소자의 소자 분리막 형성 방법| US7709396B2|2008-09-19|2010-05-04|Applied Materials, Inc.|Integral patterning of large features along with array using spacer mask patterning process flow| US7968441B2|2008-10-08|2011-06-28|Applied Materials, Inc.|Dopant activation anneal to achieve less dopant diffusion and higher activation percentage| US8563090B2|2008-10-16|2013-10-22|Applied Materials, Inc.|Boron film interface engineering| US7910491B2|2008-10-16|2011-03-22|Applied Materials, Inc.|Gapfill improvement with low etch rate dielectric liners| US20100099263A1|2008-10-20|2010-04-22|Applied Materials, Inc.|Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects| US8173547B2|2008-10-23|2012-05-08|Lam Research Corporation|Silicon etch with passivation using plasma enhanced oxidation| US20100144140A1|2008-12-10|2010-06-10|Novellus Systems, Inc.|Methods for depositing tungsten films having low resistivity for gapfill applications| US8058179B1|2008-12-23|2011-11-15|Novellus Systems, Inc.|Atomic layer removal process with higher etch amount| KR20100074508A|2008-12-24|2010-07-02|주식회사 동부하이텍|반도체 소자의 제조 방법| JP2010154699A|2008-12-26|2010-07-08|Hitachi Ltd|磁束可変型回転電機| KR20100087915A|2009-01-29|2010-08-06|삼성전자주식회사|실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법| US8992723B2|2009-02-13|2015-03-31|Applied Material, Inc.|RF bus and RF return bus for plasma chamber electrode| US8193075B2|2009-04-20|2012-06-05|Applied Materials, Inc.|Remote hydrogen plasma with ion filter for terminating silicon dangling bonds| US8492292B2|2009-06-29|2013-07-23|Applied Materials, Inc.|Methods of forming oxide layers on substrates| US8124531B2|2009-08-04|2012-02-28|Novellus Systems, Inc.|Depositing tungsten into high aspect ratio features| US7935643B2|2009-08-06|2011-05-03|Applied Materials, Inc.|Stress management for tensile films| US8211808B2|2009-08-31|2012-07-03|Applied Materials, Inc.|Silicon-selective dry etch for carbon-containing films| US8329587B2|2009-10-05|2012-12-11|Applied Materials, Inc.|Post-planarization densification| TWI579916B|2009-12-09|2017-04-21|諾菲勒斯系統公司|整合可流動氧化物及頂蓋氧化物之新穎間隙填充| US8202803B2|2009-12-11|2012-06-19|Tokyo Electron Limited|Method to remove capping layer of insulation dielectric in interconnect structures| US20110151677A1|2009-12-21|2011-06-23|Applied Materials, Inc.|Wet oxidation process performed on a dielectric material formed from a flowable cvd process| US8501629B2|2009-12-23|2013-08-06|Applied Materials, Inc.|Smooth SiConi etch for silicon-containing films| JP5608384B2|2010-02-05|2014-10-15|東京エレクトロン株式会社|半導体装置の製造方法及びプラズマエッチング装置| US8361338B2|2010-02-11|2013-01-29|Taiwan Semiconductor Manufacturing Company, Ltd.|Hard mask removal method| JP5450187B2|2010-03-16|2014-03-26|株式会社日立ハイテクノロジーズ|プラズマ処理装置およびプラズマ処理方法| US8435902B2|2010-03-17|2013-05-07|Applied Materials, Inc.|Invertable pattern loading with dry etch| US8475674B2|2010-04-30|2013-07-02|Applied Materials, Inc.|High-temperature selective dry etch having reduced post-etch solid residue| US9324576B2|2010-05-27|2016-04-26|Applied Materials, Inc.|Selective etch for silicon films| US9064815B2|2011-03-14|2015-06-23|Applied Materials, Inc.|Methods for etch of metal and metal-oxide films| US8999856B2|2011-03-14|2015-04-07|Applied Materials, Inc.|Methods for etch of sin films| US20120009796A1|2010-07-09|2012-01-12|Applied Materials, Inc.|Post-ash sidewall healing| US9184028B2|2010-08-04|2015-11-10|Lam Research Corporation|Dual plasma volume processing apparatus for neutral/ion flux control| KR20120029291A|2010-09-16|2012-03-26|삼성전자주식회사|반도체 소자 및 그 제조 방법| KR20120058962A|2010-11-30|2012-06-08|삼성전자주식회사|반도체 장치의 제조 방법| US8741778B2|2010-12-14|2014-06-03|Applied Materials, Inc.|Uniform dry etch in two stages| KR101529578B1|2011-01-14|2015-06-19|성균관대학교산학협력단|플라즈마 기판 처리 장치 및 방법| US8771539B2|2011-02-22|2014-07-08|Applied Materials, Inc.|Remotely-excited fluorine and water vapor etch| US8415250B2|2011-04-29|2013-04-09|International Business Machines Corporation|Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device| US20120285621A1|2011-05-10|2012-11-15|Applied Materials, Inc.|Semiconductor chamber apparatus for dielectric processing| US9012283B2|2011-05-16|2015-04-21|International Business Machines Corporation|Integrated circuit chip having both metal and silicon gate field effect transistors and method of manufacture| US8562785B2|2011-05-31|2013-10-22|Lam Research Corporation|Gas distribution showerhead for inductively coupled plasma etch reactor| US8771536B2|2011-08-01|2014-07-08|Applied Materials, Inc.|Dry-etch for silicon-and-carbon-containing films| US20130045605A1|2011-08-18|2013-02-21|Applied Materials, Inc.|Dry-etch for silicon-and-nitrogen-containing films| US8735291B2|2011-08-25|2014-05-27|Tokyo Electron Limited|Method for etching high-k dielectric using pulsed bias power| US8679982B2|2011-08-26|2014-03-25|Applied Materials, Inc.|Selective suppression of dry-etch rate of materials containing both silicon and oxygen| US8679983B2|2011-09-01|2014-03-25|Applied Materials, Inc.|Selective suppression of dry-etch rate of materials containing both silicon and nitrogen| US8927390B2|2011-09-26|2015-01-06|Applied Materials, Inc.|Intrench profile| US8808563B2|2011-10-07|2014-08-19|Applied Materials, Inc.|Selective etch of silicon by way of metastable hydrogen termination| US20130284369A1|2012-04-26|2013-10-31|Applied Materials, Inc.|Two-phase operation of plasma chamber by phase locked loop| US9161428B2|2012-04-26|2015-10-13|Applied Materials, Inc.|Independent control of RF phases of separate coils of an inductively coupled plasma reactor|US9324576B2|2010-05-27|2016-04-26|Applied Materials, Inc.|Selective etch for silicon films| US9064815B2|2011-03-14|2015-06-23|Applied Materials, Inc.|Methods for etch of metal and metal-oxide films| US8999856B2|2011-03-14|2015-04-07|Applied Materials, Inc.|Methods for etch of sin films| US10283321B2|2011-01-18|2019-05-07|Applied Materials, Inc.|Semiconductor processing system and methods using capacitively coupled plasma| US8771536B2|2011-08-01|2014-07-08|Applied Materials, Inc.|Dry-etch for silicon-and-carbon-containing films| US20130260564A1|2011-09-26|2013-10-03|Applied Materials, Inc.|Insensitive dry removal process for semiconductor integration| US8927390B2|2011-09-26|2015-01-06|Applied Materials, Inc.|Intrench profile| US8808563B2|2011-10-07|2014-08-19|Applied Materials, Inc.|Selective etch of silicon by way of metastable hydrogen termination| US9267739B2|2012-07-18|2016-02-23|Applied Materials, Inc.|Pedestal with multi-zone temperature control and multiple purge capabilities| US9373517B2|2012-08-02|2016-06-21|Applied Materials, Inc.|Semiconductor processing with DC assisted RF power for improved control| US9034770B2|2012-09-17|2015-05-19|Applied Materials, Inc.|Differential silicon oxide etch| US9023734B2|2012-09-18|2015-05-05|Applied Materials, Inc.|Radical-component oxide etch| US9390937B2|2012-09-20|2016-07-12|Applied Materials, Inc.|Silicon-carbon-nitride selective etch| US9132436B2|2012-09-21|2015-09-15|Applied Materials, Inc.|Chemical control features in wafer process equipment| US8969212B2|2012-11-20|2015-03-03|Applied Materials, Inc.|Dry-etch selectivity| US8980763B2|2012-11-30|2015-03-17|Applied Materials, Inc.|Dry-etch for selective tungsten removal| US9064816B2|2012-11-30|2015-06-23|Applied Materials, Inc.|Dry-etch for selective oxidation removal| US9111877B2|2012-12-18|2015-08-18|Applied Materials, Inc.|Non-local plasma oxide etch| US8921234B2|2012-12-21|2014-12-30|Applied Materials, Inc.|Selective titanium nitride etching| US10256079B2|2013-02-08|2019-04-09|Applied Materials, Inc.|Semiconductor processing systems having multiple plasma configurations| US9362130B2|2013-03-01|2016-06-07|Applied Materials, Inc.|Enhanced etching processes using remote plasma sources| US8921235B2|2013-03-04|2014-12-30|Applied Materials, Inc.|Controlled air gap formation| US9040422B2|2013-03-05|2015-05-26|Applied Materials, Inc.|Selective titanium nitride removal| US8801952B1|2013-03-07|2014-08-12|Applied Materials, Inc.|Conformal oxide dry etch| US10170282B2|2013-03-08|2019-01-01|Applied Materials, Inc.|Insulated semiconductor faceplate designs| US20140271097A1|2013-03-15|2014-09-18|Applied Materials, Inc.|Processing systems and methods for halide scavenging| US8895449B1|2013-05-16|2014-11-25|Applied Materials, Inc.|Delicate dry clean| US9114438B2|2013-05-21|2015-08-25|Applied Materials, Inc.|Copper residue chamber clean| US9493879B2|2013-07-12|2016-11-15|Applied Materials, Inc.|Selective sputtering for pattern transfer| US9773648B2|2013-08-30|2017-09-26|Applied Materials, Inc.|Dual discharge modes operation for remote plasma| US8956980B1|2013-09-16|2015-02-17|Applied Materials, Inc.|Selective etch of silicon nitride| US8951429B1|2013-10-29|2015-02-10|Applied Materials, Inc.|Tungsten oxide processing| US9236265B2|2013-11-04|2016-01-12|Applied Materials, Inc.|Silicon germanium processing| US9576809B2|2013-11-04|2017-02-21|Applied Materials, Inc.|Etch suppression with germanium| US9520303B2|2013-11-12|2016-12-13|Applied Materials, Inc.|Aluminum selective etch| US9245762B2|2013-12-02|2016-01-26|Applied Materials, Inc.|Procedure for etch rate consistency| US9117855B2|2013-12-04|2015-08-25|Applied Materials, Inc.|Polarity control for remote plasma| US9263278B2|2013-12-17|2016-02-16|Applied Materials, Inc.|Dopant etch selectivity control| US9287095B2|2013-12-17|2016-03-15|Applied Materials, Inc.|Semiconductor system assemblies and methods of operation| US9190293B2|2013-12-18|2015-11-17|Applied Materials, Inc.|Even tungsten etch for high aspect ratio trenches| US9287134B2|2014-01-17|2016-03-15|Applied Materials, Inc.|Titanium oxide etch| US9396989B2|2014-01-27|2016-07-19|Applied Materials, Inc.|Air gaps between copper lines| US9293568B2|2014-01-27|2016-03-22|Applied Materials, Inc.|Method of fin patterning| US9385028B2|2014-02-03|2016-07-05|Applied Materials, Inc.|Air gap process| US9499898B2|2014-03-03|2016-11-22|Applied Materials, Inc.|Layered thin film heater and method of fabrication| US9299575B2|2014-03-17|2016-03-29|Applied Materials, Inc.|Gas-phase tungsten etch| US9299538B2|2014-03-20|2016-03-29|Applied Materials, Inc.|Radial waveguide systems and methods for post-match control of microwaves| US9299537B2|2014-03-20|2016-03-29|Applied Materials, Inc.|Radial waveguide systems and methods for post-match control of microwaves| US9136273B1|2014-03-21|2015-09-15|Applied Materials, Inc.|Flash gate air gap| US9903020B2|2014-03-31|2018-02-27|Applied Materials, Inc.|Generation of compact alumina passivation layers on aluminum plasma equipment components| US9269590B2|2014-04-07|2016-02-23|Applied Materials, Inc.|Spacer formation| CN105097500B|2014-05-23|2020-02-11|中芯国际集成电路制造有限公司|一种半导体器件及其制造方法、电子装置| US9309598B2|2014-05-28|2016-04-12|Applied Materials, Inc.|Oxide and metal removal| US9847289B2|2014-05-30|2017-12-19|Applied Materials, Inc.|Protective via cap for improved interconnect performance| US9378969B2|2014-06-19|2016-06-28|Applied Materials, Inc.|Low temperature gas-phase carbon removal| US9406523B2|2014-06-19|2016-08-02|Applied Materials, Inc.|Highly selective doped oxide removal method| CN105448920A|2014-07-09|2016-03-30|中芯国际集成电路制造有限公司|一种半导体器件及其制作方法和电子装置| US9425058B2|2014-07-24|2016-08-23|Applied Materials, Inc.|Simplified litho-etch-litho-etch process| US9378978B2|2014-07-31|2016-06-28|Applied Materials, Inc.|Integrated oxide recess and floating gate fin trimming| US9496167B2|2014-07-31|2016-11-15|Applied Materials, Inc.|Integrated bit-line airgap formation and gate stack post clean| US9159606B1|2014-07-31|2015-10-13|Applied Materials, Inc.|Metal air gap| US9165786B1|2014-08-05|2015-10-20|Applied Materials, Inc.|Integrated oxide and nitride recess for better channel contact in 3D architectures| US9659753B2|2014-08-07|2017-05-23|Applied Materials, Inc.|Grooved insulator to reduce leakage current| US9553102B2|2014-08-19|2017-01-24|Applied Materials, Inc.|Tungsten separation| US9355856B2|2014-09-12|2016-05-31|Applied Materials, Inc.|V trench dry etch| US9478434B2|2014-09-24|2016-10-25|Applied Materials, Inc.|Chlorine-based hardmask removal| US9368364B2|2014-09-24|2016-06-14|Applied Materials, Inc.|Silicon etch process with tunable selectivity to SiO2 and other materials| US9613822B2|2014-09-25|2017-04-04|Applied Materials, Inc.|Oxide etch selectivity enhancement| US9355922B2|2014-10-14|2016-05-31|Applied Materials, Inc.|Systems and methods for internal surface conditioning in plasma processing equipment| US9966240B2|2014-10-14|2018-05-08|Applied Materials, Inc.|Systems and methods for internal surface conditioning assessment in plasma processing equipment| US20160148821A1|2014-11-26|2016-05-26|Applied Materials, Inc.|Methods and systems to enhance process uniformity| US9299583B1|2014-12-05|2016-03-29|Applied Materials, Inc.|Aluminum oxide selective etch| US10573496B2|2014-12-09|2020-02-25|Applied Materials, Inc.|Direct outlet toroidal plasma source| US10224210B2|2014-12-09|2019-03-05|Applied Materials, Inc.|Plasma processing system with direct outlet toroidal plasma source| US9502258B2|2014-12-23|2016-11-22|Applied Materials, Inc.|Anisotropic gap etch| US9343272B1|2015-01-08|2016-05-17|Applied Materials, Inc.|Self-aligned process| US9373522B1|2015-01-22|2016-06-21|Applied Mateials, Inc.|Titanium nitride removal| US9449846B2|2015-01-28|2016-09-20|Applied Materials, Inc.|Vertical gate separation| US9728437B2|2015-02-03|2017-08-08|Applied Materials, Inc.|High temperature chuck for plasma processing systems| US9881805B2|2015-03-02|2018-01-30|Applied Materials, Inc.|Silicon selective removal| US9576788B2|2015-04-24|2017-02-21|Applied Materials, Inc.|Cleaning high aspect ratio vias| US9741593B2|2015-08-06|2017-08-22|Applied Materials, Inc.|Thermal management systems and methods for wafer processing systems| US9691645B2|2015-08-06|2017-06-27|Applied Materials, Inc.|Bolted wafer chuck thermal management systems and methods for wafer processing systems| US9349605B1|2015-08-07|2016-05-24|Applied Materials, Inc.|Oxide etch selectivity systems and methods| US10504700B2|2015-08-27|2019-12-10|Applied Materials, Inc.|Plasma etching systems and methods with secondary plasma injection| JP2017152531A|2016-02-24|2017-08-31|東京エレクトロン株式会社|基板処理方法| US10504754B2|2016-05-19|2019-12-10|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection| US10522371B2|2016-05-19|2019-12-31|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection| US9865484B1|2016-06-29|2018-01-09|Applied Materials, Inc.|Selective etch using material modification and RF pulsing| US10629473B2|2016-09-09|2020-04-21|Applied Materials, Inc.|Footing removal for nitride spacer| US10062575B2|2016-09-09|2018-08-28|Applied Materials, Inc.|Poly directional etch by oxidation| US9721789B1|2016-10-04|2017-08-01|Applied Materials, Inc.|Saving ion-damaged spacers| US9934942B1|2016-10-04|2018-04-03|Applied Materials, Inc.|Chamber with flow-through source| US10546729B2|2016-10-04|2020-01-28|Applied Materials, Inc.|Dual-channel showerhead with improved profile| US10062585B2|2016-10-04|2018-08-28|Applied Materials, Inc.|Oxygen compatible plasma source| US10062579B2|2016-10-07|2018-08-28|Applied Materials, Inc.|Selective SiN lateral recess| CN107919277A|2016-10-08|2018-04-17|北京北方华创微电子装备有限公司|去除晶片上的二氧化硅的方法及制造工艺| US9947549B1|2016-10-10|2018-04-17|Applied Materials, Inc.|Cobalt-containing material removal| US10163696B2|2016-11-11|2018-12-25|Applied Materials, Inc.|Selective cobalt removal for bottom up gapfill| US9768034B1|2016-11-11|2017-09-19|Applied Materials, Inc.|Removal methods for high aspect ratio structures| US10242908B2|2016-11-14|2019-03-26|Applied Materials, Inc.|Airgap formation with damage-free copper| US10026621B2|2016-11-14|2018-07-17|Applied Materials, Inc.|SiN spacer profile patterning| US10566206B2|2016-12-27|2020-02-18|Applied Materials, Inc.|Systems and methods for anisotropic material breakthrough| US10403507B2|2017-02-03|2019-09-03|Applied Materials, Inc.|Shaped etch profile with oxidation| US10431429B2|2017-02-03|2019-10-01|Applied Materials, Inc.|Systems and methods for radial and azimuthal control of plasma uniformity| US10043684B1|2017-02-06|2018-08-07|Applied Materials, Inc.|Self-limiting atomic thermal etching systems and methods| US10319739B2|2017-02-08|2019-06-11|Applied Materials, Inc.|Accommodating imperfectly aligned memory holes| US10943834B2|2017-03-13|2021-03-09|Applied Materials, Inc.|Replacement contact process| US10277535B2|2017-03-31|2019-04-30|Hewlett Packard Enterprise Development Lp|Network switch systems including logical switches| US10319649B2|2017-04-11|2019-06-11|Applied Materials, Inc.|Optical emission spectroscopyfor remote plasma monitoring| US10497579B2|2017-05-31|2019-12-03|Applied Materials, Inc.|Water-free etching methods| US10049891B1|2017-05-31|2018-08-14|Applied Materials, Inc.|Selective in situ cobalt residue removal| US10920320B2|2017-06-16|2021-02-16|Applied Materials, Inc.|Plasma health determination in semiconductor substrate processing reactors| US10541246B2|2017-06-26|2020-01-21|Applied Materials, Inc.|3D flash memory cells which discourage cross-cell electrical tunneling| US10727080B2|2017-07-07|2020-07-28|Applied Materials, Inc.|Tantalum-containing material removal| US10541184B2|2017-07-11|2020-01-21|Applied Materials, Inc.|Optical emission spectroscopic techniques for monitoring etching| US10354889B2|2017-07-17|2019-07-16|Applied Materials, Inc.|Non-halogen etching of silicon-containing materials| US10170336B1|2017-08-04|2019-01-01|Applied Materials, Inc.|Methods for anisotropic control of selective silicon removal| US10043674B1|2017-08-04|2018-08-07|Applied Materials, Inc.|Germanium etching systems and methods| US10297458B2|2017-08-07|2019-05-21|Applied Materials, Inc.|Process window widening using coated parts in plasma etch processes| US10128086B1|2017-10-24|2018-11-13|Applied Materials, Inc.|Silicon pretreatment for nitride removal| US10283324B1|2017-10-24|2019-05-07|Applied Materials, Inc.|Oxygen treatment for nitride etching| US10256112B1|2017-12-08|2019-04-09|Applied Materials, Inc.|Selective tungsten removal| US10903054B2|2017-12-19|2021-01-26|Applied Materials, Inc.|Multi-zone gas distribution systems and methods| US10854426B2|2018-01-08|2020-12-01|Applied Materials, Inc.|Metal recess for semiconductor structures| US10964512B2|2018-02-15|2021-03-30|Applied Materials, Inc.|Semiconductor processing chamber multistage mixing apparatus and methods| US10679870B2|2018-02-15|2020-06-09|Applied Materials, Inc.|Semiconductor processing chamber multistage mixing apparatus| TWI716818B|2018-02-28|2021-01-21|美商應用材料股份有限公司|形成氣隙的系統及方法| US10593560B2|2018-03-01|2020-03-17|Applied Materials, Inc.|Magnetic induction plasma source for semiconductor processes and equipment| US10319600B1|2018-03-12|2019-06-11|Applied Materials, Inc.|Thermal silicon etch| US10497573B2|2018-03-13|2019-12-03|Applied Materials, Inc.|Selective atomic layer etching of semiconductor materials| US10573527B2|2018-04-06|2020-02-25|Applied Materials, Inc.|Gas-phase selective etching systems and methods| US10490406B2|2018-04-10|2019-11-26|Appled Materials, Inc.|Systems and methods for material breakthrough| US10699879B2|2018-04-17|2020-06-30|Applied Materials, Inc.|Two piece electrode assembly with gap for plasma control| US10886137B2|2018-04-30|2021-01-05|Applied Materials, Inc.|Selective nitride removal| US10755941B2|2018-07-06|2020-08-25|Applied Materials, Inc.|Self-limiting selective etching systems and methods| US10872778B2|2018-07-06|2020-12-22|Applied Materials, Inc.|Systems and methods utilizing solid-phase etchants| US10672642B2|2018-07-24|2020-06-02|Applied Materials, Inc.|Systems and methods for pedestal configuration| US10892198B2|2018-09-14|2021-01-12|Applied Materials, Inc.|Systems and methods for improved performance in semiconductor processing| US11049755B2|2018-09-14|2021-06-29|Applied Materials, Inc.|Semiconductor substrate supports with embedded RF shield| US11062887B2|2018-09-17|2021-07-13|Applied Materials, Inc.|High temperature RF heater pedestals| US11121002B2|2018-10-24|2021-09-14|Applied Materials, Inc.|Systems and methods for etching metals and metal derivatives| US10920319B2|2019-01-11|2021-02-16|Applied Materials, Inc.|Ceramic showerheads with conductive electrodes| US11164878B2|2020-01-30|2021-11-02|International Business Machines Corporation|Interconnect and memory structures having reduced topography variation formed in the BEOL|
法律状态:
优先权:
[返回顶部]
申请号 | 申请日 | 专利标题 US201161539279P| true| 2011-09-26|2011-09-26|| US13/624,724|US8927390B2|2011-09-26|2012-09-21|Intrench profile| 相关专利
Sulfonates, polymers, resist compositions and patterning process
Washing machine
Washing machine
Device for fixture finishing and tension adjusting of membrane
Structure for Equipping Band in a Plane Cathode Ray Tube
Process for preparation of 7 alpha-carboxyl 9, 11-epoxy steroids and intermediates useful therein an
国家/地区
|